Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

Single-chip microprocessor that communicates directly using light

Abstract

Data transport across short electrical wires is limited by both bandwidth and power density, which creates a performance bottleneck for semiconductor microchips in modern computer systems—from mobile phones to large-scale data centres. These limitations can be overcome1,2,3 by using optical communications based on chip-scale electronic–photonic systems4,5,6,7 enabled by silicon-based nanophotonic devices8. However, combining electronics and photonics on the same chip has proved challenging, owing to microchip manufacturing conflicts between electronics and photonics. Consequently, current electronic–photonic chips9,10,11 are limited to niche manufacturing processes and include only a few optical devices alongside simple circuits. Here we report an electronic–photonic system on a single chip integrating over 70 million transistors and 850 photonic components that work together to provide logic, memory, and interconnect functions. This system is a realization of a microprocessor that uses on-chip photonic devices to directly communicate with other chips using light. To integrate electronics and photonics at the scale of a microprocessor chip, we adopt a ‘zero-change’ approach to the integration of photonics. Instead of developing a custom process to enable the fabrication of photonics12, which would complicate or eliminate the possibility of integration with state-of-the-art transistors at large scale and at high yield, we design optical devices using a standard microelectronics foundry process that is used for modern microprocessors13,14,15,16. This demonstration could represent the beginning of an era of chip-scale electronic–photonic systems with the potential to transform computing system architectures, enabling more powerful computers, from network infrastructure to data centres and supercomputers.

This is a preview of subscription content, access via your institution

Access options

Rent or buy this article

Prices vary by article type

from$1.95

to$39.95

Prices may be subject to local taxes which are calculated during checkout

Figure 1: The electro-optic system on a chip.
Figure 2: Block diagram of the optical memory system.
Figure 3: Processor optical demonstration.
Figure 4: Thermal-tuning stress test of the P → M link.

References

  1. Goodman, J. W., Leonberger, F. J., Kung, S.-Y. & Athale, R. A. Optical interconnections for VLSI systems. Proc. IEEE 72, 850–866 (1984)

    Article  ADS  Google Scholar 

  2. Miller, D. A. Rationale and challenges for optical interconnects to electronic chips. Proc. IEEE 88, 728–749 (2000)

    Article  Google Scholar 

  3. Young, I. et al. Optical I/O technology for tera-scale computing. IEEE J. Solid-State Circ. 45, 235–248 (2010)

    Article  ADS  Google Scholar 

  4. Vantrease, D. et al. Corona: system implications of emerging nanophotonic technology. In Proc. 35th Annual International Symposium on Computer Architecture (ISCA ’08) 153–164, http://dx.doi.org/10.1109/ISCA.2008.35 (IEEE Computer Society, 2008)

  5. Shacham, A., Bergman, K. & Carloni, L. P. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57, 1246–1260 (2008)

    Article  MathSciNet  Google Scholar 

  6. Batten, C. et al. Building manycore processor-to-DRAM networks with monolithic CMOS silicon photonics. IEEE Micro 29(4), 8–21 (2009)

    Article  Google Scholar 

  7. Beamer, S. et al. Re-architecting DRAM memory systems with monolithically integrated silicon photonics. In Proc. 37th Annual International Symposium on Computer Architecture (ISCA ’10) 129–140, http://doi.acm.org/10.1145/1815961.1815978 (ACM, 2010)

  8. Xu, Q., Schmidt, B., Pradhan, S. & Lipson, M. Micrometre-scale silicon electro-optic modulator. Nature 435, 325–327 (2005)

    Article  CAS  ADS  Google Scholar 

  9. Narasimha, A. et al. A 40-Gb/s QSFP optoelectronic transceiver in a 0.13 μm CMOS silicon-on-insulator technology. In Optical Fiber Communication Conference OMK7, http://www.osapublishing.org/abstract.cfm?URI=OFC-2008-OMK7 (Optical Society of America, 2008)

  10. Assefa, S. et al. CMOS integrated nanophotonics: enabling technology for exascale computing systems. In Optical Fiber Communication Conference OMM6, https://www.osapublishing.org/abstract.cfm?uri=OFC-2011-OMM6 (Optical Society of America, 2011)

  11. Buckwalter, J., Zheng, X., Li, G., Raj, K. & Krishnamoorthy, A. A monolithic 25-Gb/s transceiver with photonic ring modulators and Ge detectors in a 130-nm CMOS SOI process. IEEE J. Solid-State Circ. 47, 1309–1322 (2012)

    Article  ADS  Google Scholar 

  12. Dupuis, N. et al. 30Gbps optical link utilizing heterogeneously integrated III-V/Si photonics and CMOS circuits. In Optical Fiber Communications Conference, Th5A.6, http://dx.doi.org/10.1364/OFC.2014.Th5A.6 (Optical Society of America, 2014)

  13. Orcutt, J. S. et al. Open foundry platform for high-performance electronic-photonic integration. Opt. Express 20, 12222–12232 (2012)

    Article  ADS  Google Scholar 

  14. Takahashi, O. et al. Migration of Cell broadband engine from 65nm SOI to 45nm SOI. In International Solid-State Circuits Conference (ISSCC 2008) Dig.Tech. Pap. 86–597, http://dx.doi.org/10.1109/ISSCC.2008.4523069 (IEEE, 2008)

  15. IBM Blue Gene team. Design of the IBM Blue Gene/Q Compute chip. IBM J. Res. Dev. 57, 1–13 (2013)

  16. Wendel, D. et al. The implementation of POWER7TM: a highly parallel and scalable multi-core high-end server processor. In International Solid-State Circuits Conference (ISSCC 2010) Dig. Tech. Pap. 102–103, http://dx.doi.org/10.1109/ISSCC.2010.5434074 (IEEE, 2010)

  17. Waterman, A., Lee, Y., Patterson, D. A. & Asanovic´, K. The RISC-V Instruction Set Manual, Volume I: User-Level ISA, Version 2.0. Technical Report No. UCB/EECS-2014-54, http://www.eecs.berkeley.edu/Pubs/TechRpts/2014/EECS-2014-54.html (EECS Dept., Univ. California, Berkeley, 2014)

  18. Narasimha, S. et al. High performance 45-nm SOI technology with enhanced strain, porous low-k BEOL, and immersion lithography. In International Electron Devices Meeting (IEDM ’06) 1–4, http://dx.doi.org/10.1109/IEDM.2006.346879 (IEEE, 2006)

  19. Alloatti, L., Srinivasan, S., Orcutt, J. & Ram, R. Waveguide-coupled detector in zero-change complementary metal–oxide–semiconductor. Appl. Phys. Lett. 107, 041104 (2015)

    Article  ADS  Google Scholar 

  20. Sun, C. et al. A 45nm SOI monolithic photonics chip-to-chip link with bit-statistics-based resonant microring thermal tuning. In 2015 Symposium on VLSI Circuits C122–C123, http://dx.doi.org/10.1109/VLSIC.2015.7231348 (IEEE, 2015)

  21. Shainline, J. M. et al. Depletion-mode carrier-plasma optical modulator in zero-change advanced CMOS. Opt. Lett. 38, 2657–2659 (2013)

    Article  ADS  Google Scholar 

  22. Wade, M. T. et al. Energy-efficient active photonics in a zero-change, state-of-the-art CMOS process. In Optical Fiber Communication Conference Tu2E.7, http://dx.doi.org/10.1364/OFC.2014.Tu2E.7 (Optical Society of America, 2014)

  23. Soref, R. A. & Bennett, B. Electrooptical effects in silicon. IEEE J. Quantum Elect. 23, 123–129 (1987)

    Article  ADS  Google Scholar 

  24. Selvaraja, S. K., Bogaerts, W., Dumon, P., Van Thourhout, D. & Baets, R. Subnanometer linewidth uniformity in silicon nanophotonic waveguide devices using CMOS fabrication technology. IEEE J. Sel. Top. Quantum Elect. 16, 316–324, (2010)

    Article  CAS  ADS  Google Scholar 

  25. Padmaraju, K., Chan, J., Chen, L., Lipson, M. & Bergman, K. Thermal stabilization of a microring modulator using feedback control. Opt. Express 20, 27999–28008 (2012)

    Article  ADS  Google Scholar 

  26. Sun, C. et al. A monolithically-integrated chip-to-chip optical link in bulk CMOS. IEEE J. Solid-State Circ. 50, 828–844 (2015)

    Article  ADS  Google Scholar 

  27. Wade, M. et al. 75% efficient wide bandwidth grating couplers in a 45 nm microelectronics CMOS process. In 2015 Optical Interconnects Conference 46–47, http://dx.doi.org/10.1109/OIC.2015.7115679 (IEEE, 2015)

  28. McCalpin, J. D. STREAM: sustainable memory bandwidth in high performance computers. http://www.cs.virginia.edu/stream/ (1995)

  29. Orcutt, J. S. & Ram, R. J. Photonic device layout within the foundry CMOS design environment. IEEE Photonic. Tech. Lett. 22, 544–546 (2010)

    Article  ADS  Google Scholar 

  30. Kalla, R., Sinharoy, B., Starke, W. J. & Floyd, M. Power7: IBM’s next-generation server processor. IEEE Micro 30, 7–15 (2010)

    Article  Google Scholar 

  31. Lee, S. et al. Record RF performance of 45-nm SOI CMOS technology. In International Electron Devices Meeting (IEDM 2007) 255–258, http://dx.doi.org/10.1109/IEDM.2007.4418916 (IEEE, 2007)

  32. Roger, A. Breaking a new sound barrier: it’s a mic-on-a-chip. Electron. Des. 54, http://electronicdesign.com/analog/breaking-new-sound-barrier-its-mic-chip (2006)

  33. Pavarelli, N., Lee, J. S. & O’Brien, P. A. Packaging challenges for integrated silicon photonic circuits. In Proc. SPIE Vol. 9133 (eds Vivien, L. et al.) 91330F, http://dx.doi.org/10.1117/12.2058559 (SPIE, 2014)

  34. Kopp, C. et al. Silicon photonic circuits: on-CMOS integration, fiber optical coupling, and packaging. IEEE J. Sel. Top. Quant. Elect. 17, 498–509 (2011)

    Article  CAS  ADS  Google Scholar 

  35. Lee, Y. et al. A 45nm 1.3GHz 16.7 double-precision GFLOPS/W RISC-V processor with vector accelerators. In 40th European Solid State Circuits Conference (ESSCIRC 2014) 199–202, http://dx.doi.org/10.1109/ESSCIRC.2014.6942056 (IEEE, 2014)

  36. Meade, R. et al. Integration of silicon photonics in bulk CMOS. In 2014 Symposium on VLSI Technology Dig. Tech. Pap. 228–229, http://dx.doi.org/10.1109/VLSIT.2014.6894427 (IEEE, 2014)

Download references

Acknowledgements

We thank S. Twigg, Q. Nguyen, and M. Moreto Planas for help with processor infrastructure, A. Srinivasan for help with photodetector characterization, and S. Han for help with chip photos. This work was supported by DARPA POEM award HR0011-11-C-0100, led by J. Shah and DARPA PERFECT award HR0011-12-2-0016, led by J. Cross. We thank M. Casper, J. Torneden, and the team at the Kansas City Plant for their support of our design submissions over the years leading up to this work. Support is also acknowledged from the Berkeley Wireless Research Center, UC Berkeley ASPIRE Lab, MIT CICS, National Science Foundation, FCRP IFC, Trusted Foundry, Intel, Santec, and NSERC. The views expressed are those of the authors and do not reflect the official policy or position of the DoD or the US Government.

Author information

Authors and Affiliations

Authors

Contributions

C.S. developed the thermal tuning circuitry, designed the memory bank, implemented the ‘glue-logic’ between various electronic components, and performed top-level assembly of electronics and photonics. M.T.W. optimized modulator designs for thermal tuning, designed the grating couplers, and performed top-level assembly of photonics regions used in our demonstration. C.S. and Y.L. designed the system-level architecture and demonstrated the processor with photonic input/output. Y.L. wrote and/or adapted the test programs for the processor demonstration. Y.L. and A.S.W. developed the RISC-V ISA and processor implementation. J.S.O. created the CAD infrastructure for photonic layouts, designed the photodetector used in our demonstration, and assembled initial photonic layouts and passive devices. L.A. improved the CAD infrastructure, developed new rules for design rule checking, and contributed new photodetector designs. C.S., M.T.W., Y.L., and L.A. contributed to chip verification and testing. M.S.G. designed and implemented the receiver circuit. J.M.S. designed, implemented, and tested the original version of the modulator. R.R.A. performed the physical implementation of the processor and designed the chip and adapter printed circuit boards. S.L. developed the selective substrate removal process and contributed to the thermal tuning method. B.R.M. assisted with chip implementation and performed initial substrate removal experiments. R.K. assisted in the rework of new grating coupler designs. F.P. contributed to layout and analysis for couplers and modulators. A.H.A. created new photodetector designs. H.M.C. and A.J.O. assisted with processor design. J.C.L. and Y.-H.C. contributed components in the transceiver regions. V.M.S., M.A.P., R.J.R., and K.A. supervised the project.

Corresponding authors

Correspondence to Krste Asanović, Rajeev J. Ram, Miloš A. Popović or Vladimir M. Stojanović.

Ethics declarations

Competing interests

C.S., M.T.W., R.J.R., M.A.P., and V.M.S. are developing silicon photonic technologies at Ayar Labs, Inc. Y.L., A.S.W., and K.A. are working on RISC-V ISA platforms at SiFive Inc. J.S.O. is now employed at IBM developing silicon photonics technologies.

Extended data figures and tables

Extended Data Figure 1 Chip cross-section.

a, Full chip cross-section (not to scale) from the silicon substrate to the C4 solder balls, showing the structures of electrical transistors, waveguides, and contacted optical devices. G, S, and D mark the structures that form the gate, source, and drain, respectively, of an electrical transistor. The minimum separation between transistors and waveguides is <1 μm, which is set only by the distance at which evanescent light from the waveguide begins to interact with the structures of the transistor. b, Transmission electron microscopy cross-section micrograph of an optical waveguide, before substrate removal.

Extended Data Figure 2 Selective substrate removal.

a, Selective substrate removal steps for the flip-chip packaged chip, using tape as a coarse mask for defining areas that retain the substrate. BOX, buried oxide. b, Photo of a selective-substrate-removed fully electrically packaged electronic–photonic processor chip.

Extended Data Table 1 Summary of chip characteristics
Extended Data Table 2 Summary of transceiver performance

Supplementary information

Processor Demonstration Video

An animated overview of the chip (starts at 0:05) and description of the test setup for the optical memory (starts at 0:59). The demonstration of the processor running programs starts at 2:36, with temperature changing events applied starting at 5:46, with and without the thermal tuning circuit enabled. This uploaded version is the 480p quality version, sized to be just under 30MB to not exceed the individual file size limit for supplementary information. We would like to point any readers to the full-quality 1080p version, accessible to only those with the following link: https://drive.google.com/file/d/0Bw4D2gUSMil1T3VrcVpybzh5dDg/view?usp=sharing (MP4 28615 kb)

PowerPoint slides

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sun, C., Wade, M., Lee, Y. et al. Single-chip microprocessor that communicates directly using light. Nature 528, 534–538 (2015). https://doi.org/10.1038/nature16454

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/nature16454

This article is cited by

Comments

By submitting a comment you agree to abide by our Terms and Community Guidelines. If you find something abusive or that does not comply with our terms or guidelines please flag it as inappropriate.

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing