Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Article
  • Published:

Negative differential capacitance in ultrathin ferroelectric hafnia

Abstract

Negative differential capacitance in ferroelectrics, which can be stabilized using a dielectric, could be used to overcome the limitations of capacitive coupling in electronic devices. However, the use of negative differential capacitance in scaled silicon-based structures—such as those used in advanced low-power logic devices—remains challenging. Here we report the electrical performance enhancement due to negative differential capacitance in metal–oxide–semiconductor capacitors based on ferroelectric zirconium-doped hafnia (Hf0.5Zr0.5O2) with a thickness down to 1 nm. The devices exhibit superior performance to physically thinner control devices without the ferroelectric zirconium-doped hafnia. An S-shaped polarization–electric field relation verifies the negative differential capacitance effect. The effect is also achieved in field-effect transistors in which high-κ hafnia is replaced with the ferroelectric zirconium-doped hafnia, leading to an increase in on current and decrease in off current along with negative drain-induced barrier lowering. The negative differential capacitance exhibits endurance over more than 1015 cycles and can be tuned using doping that controls the interface charges.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: Ferroelectricity in sub-2-nm-thick polycrystalline HZO films grown on silicon.
Fig. 2: NDC-induced performance boost based on the 1.5-nm-thick ferroelectric HZO.
Fig. 3: NDC-enhanced performance of the FinFET (n-type metal–oxide–semiconductor field-effect transistor) based on the 2-nm-thick ferroelectric HZO.
Fig. 4: Tunability and robustness of the NDC.

Similar content being viewed by others

Data availability

The datasets used for the figures in this study are available from the corresponding authors upon reasonable request.

References

  1. Theis, T. N. & Wong, H.-S. P. The end of Moore’s Law: a new beginning for information technology. Comput. Sci. Eng. 19, 41–50 (2017).

    Article  Google Scholar 

  2. Taur, Y., Wann, C. H. & Frank, D. J. 25 nm CMOS design considerations. In International Electron Devices Meeting 1998. Technical Digest (Cat. No. 98CH36217) 789–792 (IEEE, 1998).

  3. Stillmaker, A. & Baas, B. Scaling equations for the accurate prediction of CMOS device performance from 180 nm to 7 nm. Integration 58, 74–81 (2017).

    Article  Google Scholar 

  4. Salahuddin, S. & Datta, S. Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett. 8, 405–410 (2008).

    Article  Google Scholar 

  5. Böscke, T., Müller, J., Bräuhaus, D., Schröder, U. & Böttger, U. Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 99, 102903 (2011).

    Article  Google Scholar 

  6. Park, M. H. et al. Understanding the formation of the metastable ferroelectric phase in hafnia–zirconia solid solution thin films. Nanoscale 10, 716–725 (2018).

    Article  Google Scholar 

  7. Schroeder, U., Hwang, C. S. & Funakubo, H. Ferroelectricity in Doped Hafnium Oxide: Materials, Properties and Devices (Woodhead Publishing, 2019).

  8. Cheema, S. S. et al. Enhanced ferroelectricity in ultrathin films grown directly on silicon. Nature 580, 478–482 (2020).

    Article  Google Scholar 

  9. Lee, H.-J. et al. Scale-free ferroelectricity induced by flat phonon bands in HfO2. Science 369, 1343–1347 (2020).

    Article  Google Scholar 

  10. Lee, H. et al. Unveiling the origin of robust ferroelectricity in sub-2 nm hafnium zirconium oxide films. ACS Appl. Mater. Interfaces 13, 36499–36506 (2021).

    Article  Google Scholar 

  11. Choe, D.-H. et al. Unexpectedly low barrier of ferroelectric switching in HfO2 via topological domain walls. Mater. Today 50, 8–15 (2021).

    Article  Google Scholar 

  12. Hoffmann, M. et al. Unveiling the double-well energy landscape in a ferroelectric layer. Nature 565, 464–467 (2019).

    Article  Google Scholar 

  13. Si, M. et al. Steep-slope hysteresis-free negative capacitance MoS2 transistors. Nat. Nanotechnol. 13, 24–28 (2018).

    Article  Google Scholar 

  14. Khan, A. I. et al. Experimental evidence of ferroelectric negative capacitance in nanoscale heterostructures. Appl. Phys. Lett. 99, 113501 (2011).

    Article  Google Scholar 

  15. Gao, W. et al. Room-temperature negative capacitance in a ferroelectric–dielectric superlattice heterostructure. Nano Lett. 14, 5814–5819 (2014).

    Article  Google Scholar 

  16. Khan, A. et al. Negative capacitance in a ferroelectric capacitor. Nat. Mater. 14, 182–186 (2015).

    Article  Google Scholar 

  17. Kim, Y. J. et al. Time-dependent negative capacitance effects in Al2O3/BaTiO3 bilayers. Nano Lett. 16, 4375–4381 (2016).

    Article  Google Scholar 

  18. Zubko, P. et al. Negative capacitance in multidomain ferroelectric superlattices. Nature 534, 524–528 (2016).

    Article  Google Scholar 

  19. Yadav, A. K. et al. Spatially resolved steady-state negative capacitance. Nature 565, 468–471 (2019).

    Article  Google Scholar 

  20. Krivokapic, Z. et al. 14nm ferroelectric FinFET technology with steep subthreshold slope for ultralow power applications. In 2017 IEEE International Electron Devices Meeting (IEDM) 15.1.1–15.1.4 (IEEE, 2017).

  21. Gastaldi, C. et al. Transient negative capacitance of silicon-doped HfO2 in MFMIS and MFIS structures: experimental insights for hysteresis-free steep slope NC FETs. In 2019 IEEE International Electron Devices Meeting (IEDM) 23.5.1–23.5.4 (IEEE, 2019).

  22. Rauch, E. F. et al. Automatic crystal orientation and phase mapping in TEM by precession diffraction. Microsc. Anal. 22, S5–S8 (2008).

    Google Scholar 

  23. Rodriguez, B. J., Callahan, C., Kalinin, S. V. & Proksch, R. Dual-frequency resonance-tracking atomic force microscopy. Nanotechnology 18, 475504 (2007).

    Article  Google Scholar 

  24. Seol, D., Kim, B. & Kim, Y. Non-piezoelectric effects in piezoresponse force microscopy. Curr. Appl. Phys. 17, 661–674 (2017).

    Article  Google Scholar 

  25. Park, H. W., Roh, J., Lee, Y. B. & Hwang, C. S. Modeling of negative capacitance in ferroelectric thin films. Adv. Mater. 31, 1805266 (2019).

    Article  Google Scholar 

  26. Cheema, S. S. et al. Ultrathin ferroic HfO2–ZrO2 superlattice gate stack for advanced transistors. Nature 604, 65–71 (2022).

    Article  Google Scholar 

  27. Landau, L. D. On the theory of phase transitions. Zh. Eksp. Teor. Fiz. 7, 19–32 (1937).

    Google Scholar 

  28. Ginzburg, V. L. On the dielectric properties of ferroelectric (Segnette-electric) crystals and barium titanate. Zh. Eksp. Teor. Fiz. 15, 739–749 (1945).

    Google Scholar 

  29. Devonshire, A. F. XCVI. Theory of barium titanate. Part I.Lond. Edinb. Dubl. Phil. Mag. 40, 1040–1063 (1949).

    Google Scholar 

  30. Ota, H. et al. Fully coupled 3-D device simulation of negative capacitance FinFETs for sub 10 nm integration. In 2016 IEEE International Electron Devices Meeting (IEDM) 12.4.1–12.4.4 (IEEE, 2016).

  31. Kwon, D. et al. Negative capacitance FET with 1.8-nm-thick Zr-doped HfO2 oxide. IEEE Electron Device Lett. 40, 993–996 (2019).

    Article  Google Scholar 

  32. Kang, S. et al. Highly enhanced ferroelectricity in HfO2-based ferroelectric thin film by light ion bombardment. Science 376, 731–738 (2022).

    Article  Google Scholar 

  33. Cai, Y. et al. Endurance characteristics of negative capacitance FinFETs with negligible hysteresis. IEEE Electron Device Lett. 42, 260–263 (2021).

    Article  Google Scholar 

  34. Kelly, T. F. & Larson, D. J. Atom probe tomography 2012. Annu. Rev. Mater. Sci. 42, 1–31 (2012).

    Article  Google Scholar 

  35. Gault, B., Moody, M. P., Cairney, J. M. & Ringer, S. P. in Atom Probe Microscopy Vol. 160 (eds Hull, R. & Jagadish, C.) 28–64 (Springer, 2012).

  36. Nellist, P. D. & Pennycook, S. J. The principles and interpretation of annular dark-field Z-contrast imaging. Adv. Imaging Electron Phys. 113, 147–203 (2000).

  37. Perdew, J. P., Burke, K. & Ernzerhof, M. Generalized gradient approximation made simple. Phys. Rev. Lett. 77, 3865 (1996).

    Article  Google Scholar 

  38. Blöchl, P. E. Projector augmented-wave method. Phys. Rev. B 50, 17953 (1994).

    Article  Google Scholar 

  39. Kresse, G. & Furthmüller, J. Efficient iterative schemes for ab initio total-energy calculations using a plane-wave basis set. Phys. Rev. B 54, 11169 (1996).

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Contributions

S.J. designed and performed the electrical measurements and analysed the data. S.J. fabricated the samples with assistance from Y.S.L., S.N., S.K. and T.M. H.L. performed the TEM measurements with assistance from M.J. and carried out the crystallographic analysis. D.-H.C. developed the theoretical models and performed the calculations. J.-H.K. and K.K. performed the XRD measurements with assistance from H.H.L. and analysed the results. O.K., Y.P., S.K. and Y.K. performed and analysed the PFM data. J.Y.W. and B.G.C. performed the secondary-ion mass spectrometry and APT analyses. J.H. and E.L. supervised the project. S.J. wrote the manuscript with input from D.-H.C., J.H., E.L. and H.L. All the authors discussed the data and the manuscript.

Corresponding authors

Correspondence to Sanghyun Jo, Eunha Lee or Jinseong Heo.

Ethics declarations

Competing interests

The authors declare no competing interests.

Peer review

Peer review information

Nature Electronics thanks Andrei Zenkevich and the other, anonymous, reviewer(s) for their contribution to the peer review of this work.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Extended data

Extended Data Fig. 1 Ferroelectricity of the 4 nm thick HZO grown on silicon, identified through various independent analyses.

a, A plan-view TEM-PED phase mapping result for the 4 nm thick HZO, exhibiting an orthorhombic (O) phase (green; 85 %) dominant crystal structure with a minor population of the monoclinic (M) phase. We would like to note that the minority phase in the ferroelectric polycrystalline HZO can be different with the varying thickness, discussed in detail in Supplementary Fig. 26. b, In-plane XRD of the HZO, where the obtained spectrum was reproduced almost identically by introducing the orthorhombic (86 %) and monoclinic (14 %) phases in the fitting (the grey line exhibits the negligible difference between the measurement and fitting). Green (orthorhombic structure) and magenta (monoclinic) bars indicate the diffractions used to fit the data. The XRD result acquired in the mm-length scale is quantitatively consistent with that obtained in the sub-μm-length scale from the TEM-PED in (a), indicating the reliability of both our diffraction analyses as well as the uniformity of our HZO film. c, The atomic arrangement inside an individual grain of the HZO is resolved through the plan-view BF-STEM by projecting to the [010] zone axis. The structure of two oxygens (an elliptical shape) and Hf/Zr atoms is exactly the same with the simulated orthorhombic structure projected to the same zone axis (the image inside the orange square). The exact atomic arrangement of the orthorhombic crystal structure is also shown in the inset, where hafnium (or zirconium) and oxygen are depicted by a dark yellow bigger ball and a red smaller ball, respectively. Note that owing to the limited resolution, two oxygen atoms in the TEM image are not observed separately but appear as an elongated ellipse, whereas the Hf/Zr atoms can be individually identified. d, PFM amplitude and phase hysteresis loops. Butterfly shaped amplitude (upper panel) along with 180° phase shift (lower one) indicates the existence of spontaneous polarization states switchable by an electric-field. All the analyses performed in various physical length scales (nm to mm) with different approaches (spectroscopic and electrical) shown in the figure are consistent, thereby, demonstrating the ferroelectricity of the 4 nm thick HZO film and the high reliability of each different analysis.

Extended Data Fig. 2 Ferroelectricity of the 2 nm thick HZO grown on silicon.

a, TEM-PED phase mapping result for the 2 nm thick HZO, exhibiting the orthorhombic (O) phase (green; 80 %) dominant crystal structure with minor fraction of the tetragonal (T) phase. b, In-plane XRD for the same sample is presented, where the obtained spectrum could be reproduced quantitatively well by fitting with the orthorhombic (84 %) and tetragonal phases (16 %) (the grey line shows the negligible difference between the measurement and fitting). Green (orthorhombic) and blue (tetragonal) bars indicate the diffractions used to fit the data. The result shows that most of the area (84%) exhibits the orthorhombic structure. Again, the population of orthorhombic and tetragonal phases obtained in two independent measurements with different length scales in (a) and (b) are quantitatively comparable. c, PFM amplitude and phase hysteresis loops. Butterfly shaped amplitude (upper panel) accompanying 180° phase shift (lower panel) indicates the existence of spontaneous polarization states switchable by an electric-field. Similar to the analyses for the 4 nm thick HZO in Extended Data Fig. 1, all the results obtained for the 2 nm thick HZO films are also consistent, indicating the ferroelectric character.

Extended Data Fig. 3 Ferroelectricity of HZO films with varying thicknesses.

a, c, TEM-PED analyses performed on the 1.8 nm (a) and 7 nm (c) thick HZOs also show the orthorhombic (O) phase dominant (72 % and 87 %, respectively) constitution, similar with the results of different films in Fig. 1, Extended Data Figs. 1 and 2. b, d, In accordance with the TEM-PED analyses, the PFM measurements also show ferroelectric behaviours through the butterfly shaped amplitude (upper panels) and 180° phase switching (lower panels) in Figs. (b) and (d) for the 1.8 and 7 nm thick HZOs, respectively. e, In-plane XRD spectra of various thick HZO films (thickness = 1.5–7 nm) are plotted with a constant offset along the y-axis. The high crystallinity of our polycrystalline HZO films is manifested by the well-identified sharp diffraction peaks. All the strong and prominent peaks obtained from different HZO films can be well explained by the expected diffractions of the orthorhombic crystal structure in different directions specified in the figure, indicating that all the films exhibit a dominant orthorhombic structure. Further we observed some thickness dependent characteristics in the XRD data. The relative intensity of the (111)-peak with respect to the others shows a sharp decrease particularly below 4 nm and also with the number of peaks. This behaviour can be explained based on the formation of a strong texture along the (112)-direction (35° to the out-of-plane) at reduced thicknesses, as we have recently demonstrated10. Despite the thickness dependent characteristics of the HZO films, the orthorhombic-phase related peaks are clearly observed for varying thicknesses, which are consistent with the different analyses based on the TEM-PED, PFM, and ‘S’-curve measurements.

Extended Data Fig. 4 NDC in MOSCAP containing the 1 nm thick ferroelectric HZO.

a, Pmax, Pres, and P are extracted for each V, and plotted as a function V, where negligible Pres is observed like the measurement with the 1.5-nm-thick HZO, as shown in Fig. 2c. b, Comparison between the P vs. V curves plotted with respect to Vth for MIS (blue) and MFIS (red) structures; the boosted performance in MFIS is clearly observed, which gives rise to the ‘S’-shaped P-E curve in Fig. 2e.

Extended Data Fig. 5 Curve fitting of C–V curves to obtain equivalent oxide thickness for MOSCAPs fabricated on highly doped p-type Si substrates.

a. b, c, d, Equivalent oxide thickness (EOT) extracted from fitting the C–V data of the MOSCAPs with 1-, 1.5-, 1.8-, and 2.2-nm-thick HZO films are 0.766, 0.752, 0.698, 0.745 nm, respectively.

Extended Data Fig. 6 Curve fitting of C–V curves to obtain equivalent oxide thickness for MOSCAPs fabricated on lightly doped p-type Si-substrates.

a. b, c, d, Equivalent oxide thickness (EOT) extract from fitting the C–V data of the MOSCAPs with 1-, 1.5-, 1.8-, and 2.2-nm-thick HZO films are 0.775, 0.712, 0.713, 0.763 nm, respectively. These are in a good agreement with the results shown in Extended Data Fig. 5.

Extended Data Fig. 7 Measurements of interfacial layer thickness in various MOSCAPs from TEM analysis.

a, c, HAADF-STEM images of MOSCAPs with 1.5- and 2.2-nm-thick HZO films, respectively. b, d, Corresponding intensity profile curves obtained from the marked areas of the STEM images in (a) and (c), respectively. From the intensity profile, the interface between two layers was determined at a point having the half of the maximum intensity36. e, Summary of measured interfacial layer thicknesses for samples with different HZO thicknesses, where the data obtained at 10 different positions (p1 to p10) were averaged (Avg). These data showed that the interfacial layer thickness increased as the HZO thickness decreased because the annealing temperature was higher for thinner HZOs (see the Methods).

Extended Data Fig. 8 Characterisation of interfacial layers by compositional distribution analysis.

We performed APT analysis (data are presented as detected number of ions + /- standard errors; the errors are defined by \(\sqrt {c_i(1 - c_i)/n_t}\), where \(c_i = n_i/n_t\), nt is the number of ions of an element i and nt is the total number of ions) to obtain quantitative compositional distributions in the MOSCAPs with (a) 1.5-nm and (b) 2.2-nm-thick HZO films. The APT results were scaled by a 3D reconstruction process to obtain an accurate elemental distribution along the depth, so that the thickness of both the HZO and interfacial layers coincide with those obtained from the HAADF-STEM images (Extended Data Figs. 7). In the MOSCAPs with 1.5- and 2-nm-thick HZO layers, there was a clear diffusion of Hf/Zr into the interfacial layer over the length scale of 0.4 and 0.2 nm, respectively. To further verify the chemical states of interfacial layers in the MOSCAPs, XPS was performed and the results obtained at a take-off angle of 70° are compared for a MOSCAP with 2.2-nm-thick HZO (c) without and (d) with annealing (PDA 700 °C). The SiO2 peak at 103.6 eV was dominant in both cases among Si-related oxides, indicating that SiOx identified in APT analyses shown in (a) and (b) is actually SiO2. Note that we performed the quantitative analysis on HZO in APT, so we denoted the majority element in interfacial layer as SiOx. Another peak at 102.1 eV with a much smaller fraction of occupancy in the spectra originated from a different Si-related oxide, which is likely to be HfSiOx/ZrSiOx considering the observed diffusion of Hf/Zr into the interfacial layer. Further, the fraction of the minority elements increased from 27.5% to 37.9% after annealing, further suggesting that the minority component was HfSiOx/ZrSiOx.

Extended Data Fig. 9 Comparison of equivalent oxide thickness values of full MOSCAPs and only the interfacial layers for various MOSCAPs with different HZO film thickness.

The equivalent oxide thickness (EOT) values of MOSCAPs fabricated with differently doped substrates (Extended Data Figs. 5 and 6) are all below the physical thickness of the interfacial layers (the thickness data are presented as mean values + /- standard deviation obtained from the result shown in Extended Data Fig. 7e). In addition, they are all below the EOT of the interfacial layers (obtained by accounting for Hf/Zr diffusion; see Extended Data Fig. 8 and the Methods) in the measured MOSCAPs and the EOT of interfacial layer in the reference sample used to extract ‘S’-curves (0.91 nm; orange dotted horizontal line; Supplementary Fig. 6c). Further, the amount of EOT scaling (that is, the difference between the EOT values of the total MOSCAP and corresponding interfacial layer) increased with decreasing HZO thickness. With decreasing HZO thickness, both the physical thickness and EOT of the interfacial layers increased more notably than that of the EOT of the full MOSCAP, showing that NDC tends to be stronger in thinner HZO films. This might indicate that a more stable depolarization state could be formed with thinner HZO films in the MOSCAP. In addition, the minority phase in films with thinner HZOs was tetragonal, where the competition between ferroelectric and antiferroelectric behaviour could additionally help stabilize the depolarized state26. However, further elucidation of the microscopic mechanism of NDC in polycrystalline ferroelectric HZO is required to clarify the HZO thickness dependence.

Supplementary information

Supplementary Information

Supplementary Figs. 1–26, Table 1, text and references.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Jo, S., Lee, H., Choe, DH. et al. Negative differential capacitance in ultrathin ferroelectric hafnia. Nat Electron 6, 390–397 (2023). https://doi.org/10.1038/s41928-023-00959-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s41928-023-00959-3

This article is cited by

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing