Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Review Article
  • Published:

Transistors based on two-dimensional materials for future integrated circuits

Abstract

Field-effect transistors based on two-dimensional (2D) materials have the potential to be used in very large-scale integration (VLSI) technology, but whether they can be used at the front end of line or at the back end of line through monolithic or heterogeneous integration remains to be determined. To achieve this, multiple challenges must be overcome, including reducing the contact resistance, developing stable and controllable doping schemes, advancing mobility engineering and improving high-κ dielectric integration. The large-area growth of uniform 2D layers is also required to ensure low defect density, low device-to-device variation and clean interfaces. Here we review the development of 2D field-effect transistors for use in future VLSI technologies. We consider the key performance indicators for aggressively scaled 2D transistors and discuss how these should be extracted and reported. We also highlight potential applications of 2D transistors in conventional micro/nanoelectronics, neuromorphic computing, advanced sensing, data storage and future interconnect technologies.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: Two-dimensional FET fabrication and characterization.
Fig. 2: Saturation current and saturation velocity in 2D FETs.
Fig. 3: Reliability of 2D FETs.
Fig. 4: Contact resistance and doping of 2D FETs.
Fig. 5: Integration of high-κ dielectric on 2D semiconductors.

Similar content being viewed by others

References

  1. Jacob, A. P. et al. Scaling challenges for advanced CMOS devices. Int. J. High Speed Electron. Syst. 26, 1740001 (2017).

    Article  Google Scholar 

  2. Uchida, K. et al. Experimental study on carrier transport mechanism in ultrathin-body SOI n and p-MOSFETs with SOI thickness less than 5 nm. In International Electron Devices Meeting Technical Digest 47–50 (IEEE, 2002).

  3. Manzeli, S., Ovchinnikov, D., Pasquier, D., Yazyev, O. V. & Kis, A. 2D transition metal dichalcogenides. Nat. Rev. Mater. 2, 17033 (2017).

    Article  Google Scholar 

  4. Akinwande, D. et al. Graphene and two-dimensional materials for silicon technology. Nature 573, 507–518 (2019).

    Article  Google Scholar 

  5. Chhowalla, M., Jena, D. & Zhang, H. Two-dimensional semiconductors for transistors. Nat. Rev. Mater. 1, 16052 (2016).

    Article  Google Scholar 

  6. Schwierz, F., Pezoldt, J. & Granzner, R. Two-dimensional materials and their prospects in transistor electronics. Nanoscale 7, 8261–8283 (2015).

    Article  Google Scholar 

  7. Iannaccone, G., Bonaccorso, F., Colombo, L. & Fiori, G. Quantum engineering of transistors based on 2D materials heterostructures. Nat. Nanotechnol. 13, 183–191 (2018).

    Article  Google Scholar 

  8. Liu, Y. et al. Promises and prospects of two-dimensional transistors. Nature 591, 43–53 (2021).

    Article  Google Scholar 

  9. Radisavljevic, B., Radenovic, A., Brivio, J., Giacometti, V. & Kis, A. Single-layer MoS2 transistors. Nat. Nanotechnol. 6, 147–150 (2011).

    Article  Google Scholar 

  10. Kang, K. et al. High-mobility three-atom-thick semiconducting films with wafer-scale homogeneity. Nature 520, 656–660 (2015).

    Article  Google Scholar 

  11. List of thin film samples available. 2D Crystal Consortium https://www.mri.psu.edu/2d-crystal-consortium/user-facilities/thin-films/list-thin-film-samples-available (2021).

  12. Dumcenco, D. et al. Large-area epitaxial monolayer MoS2. ACS Nano 9, 4611–4620 (2015).

    Article  Google Scholar 

  13. Andrieu, F. et al. A review on opportunities brought by 3D-monolithic integration for CMOS device and digital circuit. In Proc. 2018 International Conference on IC Design & Technology (ICICDT) 141–144 (IEEE, 2018).

  14. Kozhakhmetov, A., Torsi, R., Chen, C. Y. & Robinson, J. A. Scalable low-temperature synthesis of two-dimensional materials beyond graphene. J. Phys. Mater. 4, 012001 (2020).

    Article  Google Scholar 

  15. Kozhakhmetov, A. et al. Scalable BEOL compatible 2D tungsten diselenide. 2D Mater. 7, 015029 (2019).

    Article  Google Scholar 

  16. Ansari, L. et al. Quantum confinement-induced semimetal-to-semiconductor evolution in large-area ultra-thin PtSe2 films grown at 400 °C. npj 2D Mater. Appl. 3, 33 (2019).

    Article  Google Scholar 

  17. Schranghamer, T. F., Sharma, M., Singh, R. & Das, S. Review and comparison of layer transfer methods for two-dimensional materials for emerging applications. Chem. Soc. Rev. 50, 11032–11054 (2021).

    Article  Google Scholar 

  18. Zhang, F., Erb, C., Runkle, L., Zhang, X. & Alem, N. Etchant-free transfer of 2D nanostructures. Nanotechnology 29, 025602 (2017).

    Article  Google Scholar 

  19. Zhuang, B., Li, S., Li, S. & Yin, J. Ways to eliminate PMMA residues on graphene—superclean graphene. Carbon 173, 609–636 (2021).

    Article  Google Scholar 

  20. Marinov, D. et al. Reactive plasma cleaning and restoration of transition metal dichalcogenide monolayers. npj 2D Mater. Appl. 5, 17 (2021).

    Article  Google Scholar 

  21. Nath, A. et al. Achieving clean epitaxial graphene surfaces suitable for device applications by improved lithographic process. Appl. Phys. Lett. 104, 224102 (2014).

    Article  Google Scholar 

  22. Lin, D. et al. Dual gate synthetic WS2 MOSFETs with 120 μS/μm Gm 2.7 μF/cm2 capacitance and ambipolar channel. In Proc. 2020 IEEE International Electron Devices Meeting (IEDM) 3.6.1–3.6.4 (IEEE, 2020).

  23. Zou, X. et al. Interface engineering for high‐performance top‐gated MoS2 field‐effect transistors. Adv. Mater. 26, 6255–6261 (2014).

    Article  Google Scholar 

  24. Nasr, J. R. & Das, S. Seamless fabrication and threshold engineering in monolayer MoS2 dual-gated transistors via hydrogen silsesquioxane. Adv. Electron. Mater. 5, 1800888 (2019).

    Article  Google Scholar 

  25. Das, S., Dodda, A. & Das, S. A biomimetic 2D transistor for audiomorphic computing. Nat. Commun. 10, 3450 (2019).

    Article  Google Scholar 

  26. Zhao, D.-H. et al. Realizing an omega-shaped gate MoS2 field-effect transistor based on a SiO2/MoS2 core-shell heterostructure. Mater. Interfaces 12, 14308–14314 (2020).

    Article  Google Scholar 

  27. Illarionov, Y. Y. et al. The role of charge trapping in MoS2/SiO2 and MoS2/hBN field-effect transistors. 2D Mater 3, 035004 (2016).

    Article  Google Scholar 

  28. Smithe, K. K. H., Suryavanshi, S. V., Muñoz Rojo, M., Tedjarati, A. D. & Pop, E. Low variability in synthetic monolayer MoS2 devices. ACS Nano 11, 8456–8463 (2017).

    Article  Google Scholar 

  29. Nasr, J. R., Schulman, D. S., Sebastian, A., Horn, M. W. & Das, S. Mobility deception in nanoscale transistors: an untold contact story. Adv. Mater. 31, 1806020 (2019).

    Article  Google Scholar 

  30. English, C. D., Shine, G., Dorgan, V. E., Saraswat, K. C. & Pop, E. Improved contacts to MoS2 transistors by ultra-high vacuum metal deposition. Nano Lett. 16, 3824–3830 (2016).

    Article  Google Scholar 

  31. Illarionov, Y. Y. et al. Insulators for 2D nanoelectronics: the gap to bridge. Nat. Commun. 11, 3385 (2020).

    Article  Google Scholar 

  32. Schulman, D. S., Arnold, A. J. & Das, S. Contact engineering for 2D materials and devices. Chem. Soc. Rev. 47, 3037–3058 (2018).

    Article  Google Scholar 

  33. Das, S., Chen, H. Y., Penumatcha, A. V. & Appenzeller, J. High performance multilayer MoS2 transistors with scandium contacts. Nano Lett. 13, 100–105 (2013).

    Article  Google Scholar 

  34. Appenzeller, J., Zhang, F., Das, S. & Knoch, J. in 2D Materials for Nanoelectronics Series in Material Science and Engineering (eds Houssa, M. et al.) 207–240 (CRC Press, 2016).

  35. Sze, S. M. & Ng, K. K. Physics of Semiconductor Devices 3rd edn (Wiley, 2007).

  36. Taur, Y. et al. Saturation transconductance of deep-submicron-channel MOSFETs. Solid State Electron 36, 1085–1087 (1993).

    Article  Google Scholar 

  37. Nathawat, J. et al. Transient hot-carrier dynamics and intrinsic velocity saturation in monolayer MoS2. Phys. Rev. Mater. 4, 014002 (2020).

    Article  Google Scholar 

  38. Smithe, K. K., English, C. D., Suryavanshi, S. V. & Pop, E. High-field transport and velocity saturation in synthetic monolayer MoS2. Nano Lett. 18, 4516–4522 (2018).

    Article  Google Scholar 

  39. Suryavanshi, S. V. & Pop, E. S2DS: physics-based compact model for circuit simulation of two-dimensional semiconductor devices including non-idealities. J. Appl. Phys. 120, 224503 (2016).

    Article  Google Scholar 

  40. Yalon, E. et al. Energy dissipation in monolayer MoS2 electronics. Nano Lett. 17, 3429–3433 (2017).

    Article  Google Scholar 

  41. He, G. et al. Negative differential conductance and hot-carrier avalanching in monolayer WS2 FETs. Sci. Rep. 7, 11256 (2017).

    Article  Google Scholar 

  42. Gabourie, A. J., Suryavanshi, S. V., Farimani, A. B. & Pop, E. Reduced thermal conductivity of supported and encased monolayer and bilayer MoS2. 2D Mater. 8, 011001 (2021).

    Article  Google Scholar 

  43. Islam, S., Li, Z., Dorgan, V. E., Bae, M.-H. & Pop, E. Role of Joule heating on current saturation and transient behavior of graphene transistors. IEEE Electron Device Lett. 34, 166–168 (2013).

    Article  Google Scholar 

  44. Yamoah, M. A., Yang, W., Pop, E. & Goldhaber-Gordon, D. High-velocity saturation in graphene encapsulated by hexagonal boron nitride. ACS Nano 11, 9914–9919 (2017).

    Article  Google Scholar 

  45. McClellan, C. J., Yalon, E., Smithe, K. K. H., Suryavanshi, S. V. & Pop, E. High current density in monolayer MoS2 doped by AlOx. ACS Nano 15, 1587–1596 (2021).

    Article  Google Scholar 

  46. Chou, A.-S. et al. High on-state current in chemical vapor deposited monolayer MoS2 nFETs with Sn ohmic contacts. In IEEE Electron Device Lett 42, 272–275 (2021).

    Article  Google Scholar 

  47. Wang, J. & Lundstrom, M. Ballistic transport in high electron mobility transistors. IEEE Trans. Electron Devices 50, 1604–1609 (2003).

    Article  Google Scholar 

  48. Nourbakhsh, A. et al. MoS2 field-effect transistor with sub-10-nm channel length. Nano Lett. 16, 7798–7806 (2016).

    Article  Google Scholar 

  49. Smithe, K. K., English, C. D., Suryavanshi, S. V. & Pop, E. Intrinsic electrical transport and performance projections of synthetic monolayer MoS2 devices. 2D Mater. 4, 011009 (2017).

    Article  Google Scholar 

  50. Daus, A. et al. High-performance flexible nanoscale transistors based on transition metal dichalcogenides. Nat. Electron. 4, 495–501 (2021).

    Article  Google Scholar 

  51. Patel, K. A., Grady, R. W., Smithe, K. K., Pop, E. & Sordan, R. Ultra-scaled MoS2 transistors and circuits fabricated without nanolithography. 2D Mater. 7, 015018 (2019).

    Article  Google Scholar 

  52. McClellan, C. J. et al. 2D device trends. 2D Semiconductor Transistor Trends http://2d.stanford.edu (accessed 1 September 2021).

  53. Shen, P.-C. et al. Ultralow contact resistance between semimetal and monolayer semiconductors. Nature 593, 211–217 (2021).

    Article  Google Scholar 

  54. Rai, A. et al. Air stable doping and intrinsic mobility enhancement in monolayer molybdenum disulfide by amorphous titanium suboxide encapsulation. Nano Lett. 15, 4329–4336 (2015).

    Article  Google Scholar 

  55. English, C. D., Smithe, K. K., Xu, R. L. & Pop, E. Approaching ballistic transport in monolayer MoS2 transistors with self-aligned 10 nm top gates. In Proc. 2016 IEEE International Electron Devices Meeting (IEDM) 5.6.1–5.6.4 (IEEE, 2016).

  56. Kayyalha, M. & Chen, Y. P. Observation of reduced 1/f noise in graphene field effect transistors on boron nitride substrates. Appl. Phys. Lett. 107, 10–14 (2015).

    Article  Google Scholar 

  57. Na, J. et al. Low-frequency noise in multilayer MoS2 field-effect transistors: the effect of high-κ passivation. Nanoscale 6, 433–441 (2014).

    Article  Google Scholar 

  58. Fang, N., Nagashio, K. & Toriumi, A. Experimental detection of active defects in few layers MoS2 through random telegraphic signals analysis observed in its FET characteristics. 2D Mater. 4, 432–433 (2017).

    Google Scholar 

  59. Stampfer, B. et al. Characterization of single defects in ultrascaled MoS2 field-effect transistors. ACS Nano 12, 5368–5375 (2018).

    Article  Google Scholar 

  60. Late, D. J., Liu, B., Matte, H. S. S. R., Dravid, V. P. & Rao, C. N. R. Hysteresis in single-layer MoS2 field effect transistors. ACS Nano 6, 5635–5641 (2012).

    Article  Google Scholar 

  61. Vu, Q. A. et al. Near-zero hysteresis and near-ideal subthreshold swing in h-BN encapsulated single-layer MoS2 field-effect transistors. 2D Mater 5, 031001 (2018).

    Article  Google Scholar 

  62. Huang, H. et al. Total dose irradiation-induced degradation of hysteresis effect in partially depleted silicon-on-insulator NMOSFETs. IEEE Trans. Nucl. Sci. 60, 1354–1360 (2013).

    Article  Google Scholar 

  63. Daus, A. et al. Positive charge trapping phenomenon in n-channel thin-film transistors with amorphous alumina gate insulators. J. Appl. Phys. 120, 244501 (2016).

    Article  Google Scholar 

  64. Datye, I. M. et al. Reduction of hysteresis in MoS2 transistors using pulsed voltage measurements. 2D Mater. 6, 011004 (2019).

    Article  Google Scholar 

  65. Illarionov, Y. Y. et al. Ultrathin calcium fluoride insulators for two-dimensional field-effect transistors. Nat. Electron. 2, 8–13 (2019).

    Article  Google Scholar 

  66. Arnold, A. J. et al. Mimicking neurotransmitter release in chemical synapses via hysteresis engineering in MoS2 transistors. ACS Nano 11, 3110–3118 (2017).

    Article  Google Scholar 

  67. Pham, T., Li, G., Bekyarova, E., Itkis, M. E. & Mulchandani, A. MoS2-based optoelectronic gas sensor with sub-parts-per-billion limit of NO2 gas detection. ACS Nano 13, 3196–3205 (2019).

    Article  Google Scholar 

  68. Yang, S., Park, S., Jang, S., Kim, H. & Kwon, J. Y. Electrical stability of multilayer MoS2 field-effect transistor under negative bias stress at various temperatures. Phys. Status Solidi Rapid Res. Lett. 8, 714–718 (2014).

    Article  Google Scholar 

  69. Goyal, N., Parihar, N., Jawa, H., Mahapatra, S. & Lodha, S. Accurate threshold voltage reliability evaluation of thin Al2O3 top-gated dielectric black phosphorous FETs using ultrafast measurement pulses. ACS Appl. Mater. Interfaces 11, 23673–23680 (2019).

    Article  Google Scholar 

  70. Palumbo, F. et al. A review on dielectric breakdown in thin dielectrics: silicon dioxide, high-κ, and layered dielectrics. Adv. Funct. Mater. 30, 1900657 (2019).

    Article  Google Scholar 

  71. Hattori, Y., Taniguchi, T., Watanabe, K. & Nagashio, K. Layer-by-layer dielectric breakdown of hexagonal boron nitride. ACS Nano 9, 916–921 (2015).

    Article  Google Scholar 

  72. Hui, F. et al. Graphene and related materials for resistive random access memories. Adv. Electron. Mater. 3, 1600195 (2017).

    Article  Google Scholar 

  73. Lee, H. J. et al. Intel 22-nm low-power FinFET (22FFL) process technology for 5G and beyond. In Proc. 2020 IEEE Custom Integrated Circuits Conference (CICC) 1–7 (IEEE, 2020); https://doi.org/10.1109/CICC48029.2020.9075914

  74. Illarionov, Y. et al. Hot-carrier degradation and bias-temperature instability in single-layer graphene field-effect transistors: similarities and differences. IEEE Trans. Electron Devices 62, 3876–3881 (2015).

    Article  Google Scholar 

  75. Low, C. G. & Zhang, Q. Ultra-thin and flat mica as gate dielectric layers. Small 8, 2178–2183 (2012).

    Article  Google Scholar 

  76. Li, T. et al. A native oxide high-κ gate dielectric for two-dimensional electronics. Nat. Electron. 3, 473–478 (2020).

    Article  Google Scholar 

  77. Yang, S., Jiang, C. & Wei, S.-H. Gas sensing in 2D materials. Appl. Phys. Rev. 4, 021304 (2017).

    Article  Google Scholar 

  78. International Roadmap for Devices and Systems (IEEE, 2020); https://irds.ieee.org/editions/2020

  79. Arnold, A. J., Schulman, D. S. & Das, S. Thickness trends of electron and hole conduction and contact carrier injection in surface charge transfer doped 2D field effect transistors. ACS Nano 14, 13557–13568 (2020).

    Article  Google Scholar 

  80. Kappera, R. et al. Phase-engineered low-resistance contacts for ultrathin MoS2 transistors. Nat. Mater. 13, 1128–1134 (2014).

    Article  Google Scholar 

  81. Scott, D. B., Hunter, W. R. & Schichijo, H. A transmission-line model for silicided diffusions—impact on the performance of VLSI circuits. IEEE Trans. Electron Devices 29, 651–661 (1982).

    Article  Google Scholar 

  82. Smets, Q. et al. Ultra-scaled MOCVD MoS2 MOSFETs with 42nm contact pitch and 250µA/µm drain current. In Proc. 2019 IEEE International Electron Devices Meeting (IEDM) 23.2.1–23.2.4 (IEEE, 2019).

  83. Prakash, A., Ilatikhameneh, H., Wu, P. & Appenzeller, J. Understanding contact gating in Schottky barrier transistors from 2D channels. Sci. Rep. 7, 12596 (2017).

    Article  Google Scholar 

  84. Cheng, Z. et al. Immunity to contact scaling in MoS2 transistors using in situ edge contacts. Nano Lett. 19, 5077–5085 (2019).

    Article  Google Scholar 

  85. Luo, P. et al. Doping engineering and functionalization of two-dimensional metal chalcogenides. Nanoscale Horiz. 4, 26–51 (2019).

    Article  Google Scholar 

  86. Dolui, K., Rungger, I., Pemmaraju, C. D. & Sanvito, S. Possible doping strategies for MoS2 monolayers: an ab initio study. Phys. Rev. B 88, 075420 (2013).

    Article  Google Scholar 

  87. Suh, J. et al. Doping against the native propensity of MoS2: degenerate hole doping by cation substitution. Nano Lett. 14, 6976–6982 (2014).

    Article  Google Scholar 

  88. Zhang, K. et al. Tuning the electronic and photonic properties of monolayer MoS2 via in situ rhenium substitutional doping. Adv. Funct. Mater. 28, 1706950 (2018).

    Article  Google Scholar 

  89. Zhang, F. et al. Carbon doping of WS2 monolayers: bandgap reduction and p-type doping transport. Sci. Adv. 5, eaav5003 (2019).

    Article  Google Scholar 

  90. Tang, B. et al. Direct n- to p-type channel conversion in monolayer/few-layer WS2 field-effect transistors by atomic nitrogen treatment. ACS Nano 12, 2506–2513 (2018).

    Article  Google Scholar 

  91. Yamamoto, M., Nakaharai, S., Ueno, K. & Tsukagoshi, K. Self-limiting oxides on WSe2 as controlled surface acceptors and low-resistance hole contacts. Nano Lett. 16, 2720–2727 (2016).

    Article  Google Scholar 

  92. Cai, L. et al. Rapid flame synthesis of atomically thin MoO3 down to monolayer thickness for effective hole doping of WSe2. Nano Lett. 17, 3854–3861 (2017).

    Article  Google Scholar 

  93. Chen, K. et al. Air stable n-doping of WSe2 by silicon nitride thin films with tunable fixed charge density. APL Mater. 2, 092504 (2014).

    Article  Google Scholar 

  94. Kaasbjerg, K., Thygesen, K. S. & Jacobsen, K. W. Phonon-limited mobility in n-type single-layer MoS2 from first principles. Phys. Rev. B 85, 115317 (2012).

    Article  Google Scholar 

  95. Chen, J.-H., Jang, C., Xiao, S., Ishigami, M. & Fuhrer, M. S. Intrinsic and extrinsic performance limits of graphene devices on SiO2. Nat. Nanotechnol. 3, 206–209 (2008).

    Article  Google Scholar 

  96. Zhu, W., Perebeinos, V., Freitag, M. & Avouris, P. Carrier scattering, mobilities and electrostatic potential in monolayer, bilayer and trilayer graphene. Phys. Rev. B 80, 235402 (2009).

    Article  Google Scholar 

  97. Qiu, H. et al. Hopping transport through defect-induced localized states in molybdenum disulphide. Nat. Commun. 4, 2642 (2013).

    Article  Google Scholar 

  98. Najmaei, S. et al. Tailoring the physical properties of molybdenum disulfide monolayers by control of interfacial chemistry. Nano Lett. 14, 1354–1361 (2014).

    Article  Google Scholar 

  99. Ma, N. & Jena, D. Charge scattering and mobility in atomically thin semiconductors. Phys. Rev. 4, 011043 (2014).

    Article  Google Scholar 

  100. Cui, X. et al. Multi-terminal transport measurements of MoS2 using a van der Waals heterostructure device platform. Nat. Nanotechnol. 10, 534–540 (2015).

    Article  Google Scholar 

  101. Hosseini, M., Elahi, M., Pourfath, M. & Esseni, D. Strain-induced modulation of electron mobility in single-layer transition metal dichalcogenides MX2 (M = Mo,W; X = S, Se). IEEE Trans. Electron Devices 62, 3192–3198 (2015).

    Article  Google Scholar 

  102. Cao, W., Kang, J., Sarkar, D., Liu, W. & Banerjee, K. 2D Semiconductor FETs—projections and design for sub-10-nm VLSI. IEEE Trans. Electron Devices 62, 3459–3469 (2015).

    Article  Google Scholar 

  103. Asselberghs, I. et al. Wafer-scale integration of double gated WS2-transistors in 300-mm Si CMOS fab. In Proc. 2020 IEEE International Electron Devices Meeting (IEDM) 40.2.1–40.2.4 (IEEE, 2020); https://doi.org/10.1109/iedm13553.2020.9371926

  104. Chen, M. L. et al. A FinFET with one atomic layer channel. Nat. Commun. 11, 1205 (2020).

    Article  Google Scholar 

  105. Lo, S. H. & Taur, Y. in High Dielectric Constant Materials Vol. 16 (eds Huff, H. & Gilmer, D.) 123–142 (Springer Series in Advanced Microelectronics Springer, 2005); https://doi.org/10.1007/3-540-26462-0_5

  106. Knobloch, T. et al. The performance limits of hexagonal boron nitride as an insulator for scaled CMOS devices based on two-dimensional materials. Nat. Electron. 4, 98–108 (2021).

    Article  Google Scholar 

  107. Mleczko, M. J. et al. HfSe2 and ZrSe2: two-dimensional semiconductors with native high-κ oxides. Sci. Adv. 3, e1700481 (2017).

    Article  Google Scholar 

  108. Azcatl, A. et al. HfO2 on UV–O3 exposed transition metal dichalcogenides: interfacial reactions study. 2D Mater. 2, 014004 (2015).

    Article  Google Scholar 

  109. Yang, W. et al. The integration of sub-10-nm gate oxide on MoS2 with ultra low leakage and enhanced mobility. Sci. Rep. 5, 11921 (2015).

    Article  Google Scholar 

  110. Price, K. M. et al. Plasma-enhanced atomic layer deposition of HfO2 on monolayer, bilayer and trilayer MoS2 for the integration of high-κ dielectrics in two-dimensional devices. ACS Appl. Nano Mater. 2, 4085–4094 (2019).

    Article  Google Scholar 

  111. Price, K. M., Schauble, K. E., McGuire, F. A., Farmer, D. B. & Franklin, A. D. Uniform growth of sub-5-nanometer high-κ dielectrics on MoS2 using plasma-enhanced atomic layer deposition. ACS Appl. Mater. Interfaces 9, 23072–23080 (2017).

    Article  Google Scholar 

  112. Son, S., Yu, S., Choi, M., Kim, D. & Choi, C. Improved high temperature integration of Al2O3 on MoS2 by using a metal oxide buffer layer. Appl. Phys. Lett. 106, 021601 (2015).

    Article  Google Scholar 

  113. Tselev, A. et al. Near-field microwave microscopy of high-κ oxides grown on graphene with an organic seeding layer. Appl. Phys. Lett. 103, 243105 (2013).

    Article  Google Scholar 

  114. Azcatl, A. et al. MoS2 functionalization for ultra-thin atomic layer deposited dielectrics. Appl. Phys. Lett. 104, 111601 (2014).

    Article  Google Scholar 

  115. Li, W. et al. Uniform and ultrathin high-κ gate dielectrics for two-dimensional electronic devices. Nat. Electron. 2, 563–571 (2019).

    Article  Google Scholar 

  116. Hui, F. et al. On the use of two dimensional hexagonal boron nitride as dielectric. Microelectron. Eng. 163, 119–133 (2016).

    Article  Google Scholar 

  117. Roy, T. et al. Field-effect transistors built from all two-dimensional material components. ACS Nano 8, 6259–6264 (2014).

    Article  Google Scholar 

  118. Holler, B. A., Crowley, K., Berger, M. H. & Gao, X. P. A. 2D semiconductor transistors with van der Waals oxide MoO3 as integrated high-κ gate dielectric. Adv. Electron. Mater. 6, 2000635 (2020).

    Article  Google Scholar 

  119. Jung, Y. et al. Transferred via contacts as a platform for ideal two-dimensional transistors. Nat. Electron. 2, 187–194 (2019).

    Article  Google Scholar 

  120. Das, S., Gulotty, R., Sumant, A. V. & Roelofs, A. All two-dimensional, flexible, transparent and thinnest thin film transistor. Nano Lett. 14, 2861–2866 (2014).

    Article  Google Scholar 

  121. Pradeep, K. et al. Characterization methodology and physical compact modeling of in-wafer global and local variability. In Proc. 2018 IEEE International Electron Devices Meeting (IEDM) 17.1.1–17.1.4 (IEEE, 2018); https://doi.org/10.1109/iedm.2018.8614589

  122. Bhoir, M. S. et al. Variability sources in nanoscale bulk FinFETs and TiTaN—a promising low variability WFM for 7/5-nm CMOS nodes. In Proc. 2019 IEEE International Electron Devices Meeting (IEDM) 36.2.1–36.2.4 (IEEE, 2019); https://doi.org/10.1109/iedm19573.2019.8993660

  123. Yu, L. et al. Design, modeling and fabrication of chemical vapor deposition grown MoS2 circuits with E-mode FETs for large-area electronics. Nano Lett. 16, 6349–6356 (2016).

    Article  Google Scholar 

  124. Xu, H. et al. High-performance wafer-scale MoS2 transistors toward practical application. Small 14, e1803465 (2018).

    Article  Google Scholar 

  125. Sebastian, A., Pendurthi, R., Choudhury, T. H., Redwing, J. M. & Das, S. Benchmarking monolayer MoS2 and WS2 field-effect transistors. Nat. Commun. 12, 693 (2021).

    Article  Google Scholar 

  126. McDonnell, S., Addou, R., Buie, C., Wallace, R. M. & Hinkle, C. L. Defect-dominated doping and contact resistance in MoS2. ACS Nano 8, 2880–2888 (2014).

    Article  Google Scholar 

  127. Huang, Y. L. et al. Bandgap tunability at single-layer molybdenum disulphide grain boundaries. Nat. Commun. 6, 6298 (2015).

    Article  Google Scholar 

  128. Smets, Q. et al. Sources of variability in scaled MoS2 FETs. In Proc. 2020 IEEE International Electron Devices Meeting (IEDM) 3.1.1–3.1.4 (IEEE, 2020); https://doi.org/10.1109/iedm13553.2020.9371890

  129. Shim, J. et al. Controlled crack propagation for atomic precision handling of wafer-scale two-dimensional materials. Science 362, 665–670 (2018).

    Article  Google Scholar 

  130. Quellmalz, A. et al. Large-area integration of two-dimensional materials and their heterostructures by wafer bonding. Nat. Commun. 12, 917 (2021).

    Article  Google Scholar 

  131. Asselberghs, I. et al. Scaled transistors with 2D materials from the 300-mm fab. In Proc. 2020 IEEE Silicon Nanoelectronics Workshop (SNW) 67–68 (IEEE, 2020); https://doi.org/10.1109/snw50361.2020.9131651

  132. Lanza, M., Smets, Q., Huyghebaert, C. & Li, L. J. Yield, variability, reliability and stability of two-dimensional materials based solid-state electronic devices. Nat. Commun. 11, 5689 (2020).

    Article  Google Scholar 

  133. Edelberg, D. et al. Approaching the intrinsic limit in transition metal diselenides via point defect control. Nano Lett. 19, 4371–4379 (2019).

    Article  Google Scholar 

  134. Khan, A. I. et al. Large temperature coefficient of resistance in atomically thin two-dimensional semiconductors. Appl. Phys. Lett. 116, 203105 (2020).

    Article  Google Scholar 

  135. Lee, Y., Fiore, S. & Luisier, M. Ab initio mobility of single-layer MoS2 and WS2: comparison to experiments and impact on the device characteristics. In Proc. 2019 IEEE International Electron Devices Meeting (IEDM) 24.4.1–24.4.4 (IEEE, 2019); https://doi.org/10.1109/iedm19573.2019.8993477

  136. Sylvia, S. S., Alam, K. & Lake, R. K. Uniform benchmarking of low-voltage van der Waals FETs. IEEE J. Exploratory Solid State Comput. Devices Circ. 2, 28–35 (2016).

  137. Lee, C.-S., Cline, B., Sinha, S., Yeric, G. & Wong, H. S. P. 32-bit processor core at 5-nm technology: analysis of transistor and interconnect impact on VLSI system performance. In Proc. 2016 IEEE International Electron Devices Meeting (IEDM) 28.3.1–28.3.4 (IEEE, 2016); https://doi.org/10.1109/iedm.2016.7838498

  138. Agarwal, T. et al. Benchmarking of monolithic 3D integrated MX2 FETs with Si FinFETs. In Proc. 2017 IEEE International Electron Devices Meeting (IEDM) 5.7.1–5.7.4 (2017); https://doi.org/10.1109/iedm.2017.8268336

  139. Wachter, S., Polyushkin, D. K., Bethge, O. & Mueller, T. A microprocessor based on a two-dimensional semiconductor. Nat. Commun. 8, 14948 (2017).

    Article  Google Scholar 

  140. Polyushkin, D. K. et al. Analogue two-dimensional semiconductor electronics. Nat. Electron. 3, 486–491 (2020).

    Article  Google Scholar 

  141. Pang, C.-S., Thakuria, N., Gupta, S. K. & Chen, Z. First demonstration of WSe2 based CMOS-SRAM. In Proc. 2018 IEEE International Electron Devices Meeting (IEDM) 22.2.1–22.2.4 (IEEE, 2018).

  142. Resta, G. V. et al. Devices and circuits using novel 2-D materials: a perspective for future VLSI systems. IEEE Trans. Very Large Scale Integr. Syst. 27, 1486–1503 (2019).

    Article  Google Scholar 

  143. Verreck, D., Arutchelvan, G., Heyns, M. M. & Radu, I. P. Device and circuit level gate configuration optimization for 2D material field-effect transistors. In Proc. 2019 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 1–4 (IEEE, 2019); https://doi.org/10.1109/sispad.2019.8870506

  144. Hu, V. P.-H. et al. Energy-efficient monolithic 3-D SRAM cell with BEOL MoS2 FETs for SoC scaling. IEEE Trans. Electron Devices 67, 4216–4221 (2020).

    Article  Google Scholar 

  145. Kshirsagar, C. U. et al. Dynamic memory cells using MoS2 field-effect transistors demonstrating femtoampere leakage currents. ACS Nano 10, 8457–8464 (2016).

    Article  Google Scholar 

  146. McClellan, C. J., Andrew, C. Y., Wang, C.-H., Wong, H.-S. P. & Pop, E. Effective n-type doping of monolayer MoS2 by AlOx. In Proc. 2017 Device Research Conference (DRC) 65–66 (IEEE, 2017).

  147. Cha, M.-Y. et al. MoS2-based ferroelectric field-effect transistor with atomic layer deposited Hf0.5Zr0.5O2 films toward memory applications. AIP Adv. 10, 065107 (2020).

    Article  Google Scholar 

  148. Gao, Q. et al. Scalable high performance radio frequency electronics based on large domain bilayer MoS2. Nat. Commun. 9, 4778 (2018).

    Article  Google Scholar 

  149. Dodda, A. Graphene-based physically unclonable functions that are reconfigurable and resilient to machine learning attacks. Nat. Electron 4, 364–374 (2021).

    Article  Google Scholar 

  150. Wali, A. et al. Satisfiability attack-resistant camouflaged two-dimensional heterostructure devices. ACS Nano 15, 3453–3467 (2021).

    Article  Google Scholar 

  151. Kim, S. J., Choi, K., Lee, B., Kim, Y. & Hong, B. H. Materials for flexible, stretchable electronics: graphene and 2D materials. Annu. Rev. Mater. Res. 45, 63–84 (2015).

    Article  Google Scholar 

  152. Choi, M. et al. Full-color active-matrix organic light-emitting diode display on human skin based on a large-area MoS2 backplane. Sci. Adv. 6, eabb5898 (2020).

    Article  Google Scholar 

  153. Jiang, J., Parto, K., Cao, W. & Banerjee, K. Ultimate monolithic-3D integration with 2D materials: rationale, prospects and challenges. IEEE J. Electron Devices Soc. 7, 878–887 (2019).

    Article  Google Scholar 

  154. Zhou, R. & Appenzeller, J. Three-dimensional integration of multi-channel MoS2 devices for high drive current FETs. In Proc. 2018 76th Device Research Conference (DRC) 1–2 (IEEE, 2018); https://doi.org/10.1109/drc.2018.8442137

  155. Arutchelvan, G. et al. Impact of device scaling on the electrical properties of MoS2 field-effect transistors. Sci. Rep. 11, 6610 (2021).

    Article  Google Scholar 

  156. Sachid, A. B. et al. Monolithic 3D CMOS using layered semiconductors. Adv. Mater. 28, 2547–2554 (2016).

    Article  Google Scholar 

  157. Sivan, M. et al. All WSe2 1T1R resistive RAM cell for future monolithic 3D embedded memory integration. Nat. Commun. 10, 5201 (2019).

    Article  Google Scholar 

  158. Yang, C. C. et al. Enabling monolithic 3D image sensor using large-area monolayer transition metal dichalcogenide and logic/memory hybrid 3D+IC. In Proc. 2016 IEEE Symposium on VLSI Technology 1–2 (IEEE, 2016); https://doi.org/10.1109/VLSIT.2016.7573448

  159. Gall, D. The search for the most conductive metal for narrow interconnect lines. J. Appl. Phys. 127, 050901 (2020).

    Article  Google Scholar 

  160. Mehta, R., Chugh, S. & Chen, Z. Enhanced electrical and thermal conduction in graphene-encapsulated copper nanowires. Nano Lett. 15, 2024–2030 (2015).

    Article  Google Scholar 

  161. Lo, C.-L. et al. Studies of two-dimensional h-BN and MoS2 for potential diffusion barrier application in copper interconnect technology. npj 2D Mater. Appl. 1, 42 (2017).

    Article  Google Scholar 

  162. Lo, C.-L. et al. Large-area, single-layer molybdenum disulfide synthesized at BEOL compatible temperature as Cu diffusion barrier. IEEE Electron Device Lett. 39, 873–876 (2018).

    Article  Google Scholar 

  163. Helfrecht, B. A., Guzman, D. M., Onofrio, N. & Strachan, A. H. Interactions between copper and transition metal dichalcogenides: a density functional theory study. Phys. Rev. Mater. 1, 034001 (2017).

    Article  Google Scholar 

  164. Lo, C. L. et al. Enhancing interconnect reliability and performance by converting tantalum to 2D layered tantalum sulfide at low temperature. Adv. Mater. 31, 1902397 (2019).

    Article  Google Scholar 

  165. Lo, C.-L. et al. Opportunities and challenges of 2D materials in back-end-of-line interconnect scaling. J. Appl. Phys. 128, 080903 (2020).

    Article  Google Scholar 

  166. Shen, T. et al. MoS2 for enhanced electrical performance of ultrathin copper films. ACS Appl. Mater. Interfaces 11, 28345–28351 (2019).

    Article  Google Scholar 

  167. Mennel, L. et al. Ultrafast machine vision with 2D material neural network image sensors. Nature 579, 62–66 (2020).

    Article  Google Scholar 

  168. Ielmini, D. & Wong, H.-S. P. In-memory computing with resistive switching devices. Nat. Electron. 1, 333–343 (2018).

    Article  Google Scholar 

  169. Zhang, F. et al. Electric-field induced structural transition in vertical MoTe2- and Mo1 – xWxTe2-based resistive memories. Nat. Mater. 18, 55–61 (2019).

    Article  Google Scholar 

  170. Zhao, H. et al. Atomically thin femtojoule memristive device. Adv. Mater. 29, 1703232 (2017).

    Article  Google Scholar 

  171. Sangwan, V. K. et al. Multi-terminal memtransistors from polycrystalline monolayer molybdenum disulfide. Nature 554, 500–504 (2018).

    Article  Google Scholar 

  172. Schranghamer, T. F., Oberoi, A. & Das, S. Graphene memristive synapses for high precision neuromorphic computing. Nat. Commun. 11, 5474 (2020).

    Article  Google Scholar 

  173. Xie, D. et al. Coplanar multigate MoS2 electric-double-layer transistors for neuromorphic visual recognition. ACS Appl. Mater. Interfaces 10, 25943–25948 (2018).

    Article  Google Scholar 

  174. Jayachandran, D. et al. A low-power biomimetic collision detector based on an in-memory molybdenum disulfide photodetector. Nat. Electron. 3, 646–655 (2020).

    Article  Google Scholar 

  175. Dodda, A. et al. Stochastic resonance in MoS2 photodetector. Nat. Commun. 11, 4406 (2020).

    Article  Google Scholar 

  176. Sebastian, A., Pannone, A., Subbulakshmi Radhakrishnan, S. & Das, S. Gaussian synapses for probabilistic neural networks. Nat. Commun. 10, 4199 (2019).

    Article  Google Scholar 

  177. Radhakrishnan, S. S., Sebastian, A., Oberoi, A., Das, S. & Das, S. A biomimetic neural encoder for spiking neural network. Nat. Commun. 12, 2143 (2021).

    Article  Google Scholar 

  178. Jha, R. K. & Bhat, N. Recent progress in chemiresistive gas sensing technology based on molybdenum and tungsten chalcogenide nanostructures. Adv. Mater. Interfaces 7, 1901992 (2020).

    Article  Google Scholar 

  179. Sarkar, D. et al. MoS2 field-effect transistor for next-generation label-free biosensors. ACS Nano 8, 3992–4003 (2014).

    Article  Google Scholar 

  180. Cui, X. et al. Low-temperature ohmic contact to monolayer MoS2 by van der Waals bonded Co/h-BN electrodes. Nano Lett. 17, 4781–4786 (2017).

    Article  Google Scholar 

  181. Parlak, O., Incel, A., Uzun, L., Turner, A. P. F. & Tiwari, A. Structuring Au nanoparticles on two-dimensional MoS2 nanosheets for electrochemical glucose biosensors. Biosens. Bioelectron. 89, 545–550 (2017).

    Article  Google Scholar 

  182. Nam, H. et al. Fabrication and comparison of MoS2 and WSe2 field-effect transistor biosensors. J. Vacuum Sci. Technol. B 33, 06FG01 (2015).

    Article  Google Scholar 

  183. Oh, J. Y. et al. Chemically exfoliated transition metal dichalcogenide nanosheet-based wearable thermoelectric generators. Energy Environ. Sci. 9, 1696–1705 (2016).

    Article  Google Scholar 

  184. Suryavanshi, S. V., Gabourie, A. J., Barati Farimani, A. & Pop, E. Thermal boundary conductance of two-dimensional MoS2 interfaces. J. Appl. Phys. 126, 055107 (2019).

    Article  Google Scholar 

  185. Illarionov, Y. Y. et al. Improved hysteresis and reliability of MoS2 FETs with high-quality CVD growth and Al2O3 encapsulation. IEEE Electron Device Lett. 38, 1763–1766 (2017).

    Article  Google Scholar 

  186. Lee, C. et al. Comparison of trapped charges and hysteresis behavior in hBN encapsulated single MoS2 flake based field effect transistors on SiO2 and hBN substrates. Nanotechnology 29, 335202 (2018).

    Article  Google Scholar 

  187. Li, C. et al. Rapid four-point sweeping method to investigate hysteresis of MoS2 FET. IEEE Electron Device Lett. 41, 1356–1359 (2020).

    Article  Google Scholar 

  188. Cho, A. J. et al. Multi-layer MoS2 FET with small hysteresis by using atomic layer deposition Al2O3 as gate insulator. ECS Solid State Lett. 3, Q67–Q69 (2014).

    Article  Google Scholar 

  189. Oliva, N. et al. Hysteresis dynamics in double-gated n-type WSe2 FETs with high-κ top gate dielectric. IEEE J. Electron Devices Soc. 7, 1163–1169 (2019).

  190. Grasser, T. et al. Analytic modeling of the bias temperature instability using capture/emission time maps. In Proc. 2011 International Electron Devices Meeting 27.4.1–27.4.4 (IEEE, 2011); https://doi.org/10.1109/IEDM.2011.6131624

  191. Suzuki, K., Tetsu, T., Yoshiharu, T., Hiroshi, H. & Yoshihiro, A. Scaling theory for double-gate SOI MOSFETs. IEEE Trans. Electron Devices 40, 2326–2329 (1993).

    Article  Google Scholar 

  192. Frank, D. J., Yuan, T. & Wong, H. S. P. Generalized scale length for two-dimensional effects in MOSFETs. IEEE Electron Device Lett. 19, 385–387 (1998).

    Article  Google Scholar 

  193. Pandey, N., Lin, H.-H., Nandi, A. & Taur, Y. Modeling of short-channel effects in DG MOSFETs: Green’s function method versus scale length model. IEEE Trans. Electron Devices 65, 3112–3119 (2018).

    Article  Google Scholar 

  194. Robertson, J. High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327 (2005).

    Article  Google Scholar 

  195. Fischetti, M. V., Neumayer, D. A. & Cartier, E. A. Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-κ insulator: the role of remote phonon scattering. J. Appl. Phys. 90, 4587–4608 (2001).

    Article  Google Scholar 

  196. Cao, Q. et al. Origins and characteristics of the threshold voltage variability of quasiballistic single-walled carbon nanotube field-effect transistors. ACS Nano 9, 1936–1944 (2015).

    Article  Google Scholar 

  197. Franklin, A. D. et al. Carbon nanotube complementary wrap-gate transistors. Nano Lett. 13, 2490–2495 (2013).

    Article  Google Scholar 

  198. Stathis, J. H. Reliability limits for the gate insulator in CMOS technology. IBM J. Res. Dev. 46, 265–286 (2002).

    Article  Google Scholar 

Download references

Acknowledgements

The work of S.D. was supported by the National Science Foundation (NSF) through a CAREER Award under grant no. ECCS-2042154. A.D.F. acknowledges funding support from NSF ECCS-1915814. W.Z. acknowledges funding support from Semiconductor Research Corporation (SRC) under grant SRC 2021-LM-3042 and National Science Foundation under grant ECCS 16-53241 CAR. R.S. acknowledges Nanoscale Research Facility (NRF), IIT Delhi, and the Ministry of Education (MoE) for partial funding supportfrom the Grand Challenge Project 'MBE Growth of 2D Materials' under grant number MI01800G. T.G., T.K. and Y.I. thank the financial support through FWF grants I2606-N30 and I4123-N30. Y.I. also acknowledges the support by the Ministry of Science and Higher Education of the Russian Federation (project 075-15-2020-790) and the Take-off programme of the Austrian Research Promotion Agency FFG (projects 867414 and 861022).

Author information

Authors and Affiliations

Authors

Contributions

All authors contributed to the preparation of the manuscript.

Corresponding author

Correspondence to Saptarshi Das.

Ethics declarations

Competing interests

The authors declare no competing interests.

Additional information

Peer review information Nature Electronics thanks the anonymous reviewers for their contribution to the peer review of this work.

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Supplementary information

Supplementary Information

Supplementary Discussion and Figs. 1–4.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Das, S., Sebastian, A., Pop, E. et al. Transistors based on two-dimensional materials for future integrated circuits. Nat Electron 4, 786–799 (2021). https://doi.org/10.1038/s41928-021-00670-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s41928-021-00670-1

This article is cited by

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing