Credit: © ISTOCKPHOTO/PETROVICH9

Silicon chip manufacturers trying to keep up with Moore's law are most likely breathing a sigh of relief that the US firm Cymer has recently delivered an extreme ultraviolet (EUV) light source to ASML (the Dutch provider of lithography systems for semiconductor manufacturing) for testing1.

According to ASML, it is now installing the 13.5-nm-wavelength source at its headquarters in Veldhoven, after which it will test a next-generation EUV lithography scanner that should allow chip manufacturers to define features smaller than 22 nm. The Dutch firm says that it has received orders for five EUV lithographic systems from manufacturers of memory and logic chips, and plans to start delivery in 2010.

Although Moore's law2 — the empirical prediction made by Intel co-founder Gordon Moore in 1965 that says the number of transistors within an integrated circuit grows exponentially with time, doubling approximately every two years — was undoubtedly a driving force behind the computer revolution, it is a constant source of stress for chip manufacturers. Indeed, in recent years there have been fears that fabrication technology would not be able to keep up — failure to meet Moore's law would highlight an undesirable slowing of progress in chip technology.

In common with the optical data storage industry, one of the key ways of achieving Moore's law over recent years has been to shorten the wavelength of light used, allowing the projection of smaller feature sizes onto the silicon wafer surface. In the data disk industry, the challenge is reading and writing ever-smaller 'pits' of digital data on disk surfaces — the required wavelength has almost halved from the near-infrared (CD, 780 nm) to the blue ('Blu-Ray', 405 nm). In chip lithography the source wavelength has always been in the ultraviolet, but over the past 20 years this has also halved from 365 nm to the current value of 193 nm, resulting in so-called deep ultraviolet (DUV) lithography. Furthermore, the design rule (which defines the minimum achievable feature size) of chip lithography has reduced from around 0.5 μm to just 22–35 nm. The hope is that EUV lithography will ultimately allow feature sizes of 10 nm or less.

It has long been postulated that the limit of 193-nm lithography using Argon Fluoride excimer lasers will soon be reached, meaning a shorter-wavelength source will soon be required. The problem is that the next jump in wavelength (by a factor of about 14) from the DUV to the far-shorter wavelength of 13.5 nm in the EUV has proved difficult to achieve, despite years of research. The creation of a suitable source that can reliably generate light with the spectral purity, power and stability required at such short wavelengths is no simple task. To complicate matters further, no material exists for fabricating lenses for EUV light, so the beam must be steered with highly specialized mirrors. Furthermore, because EUV light cannot propagate through air without causing ionization, the entire system must operate in a vacuum. Switching to EUV lithography is therefore expensive and complicated, and so thus far has remained unfeasible.

For these reasons, there are concerns as to when, indeed if, commercial EUV lithography will be realized. Many chip manufacturers have therefore been working to increase the performance of existing 193-nm DUV lithography. Much to their credit, they have demonstrated the ability to take 193-nm technology far beyond the resolution first envisaged, using immersion optics with a very high refractive index or double-patterning schemes with multiple exposures (each slightly shifted)3. Indeed, Intel has recently reported reaching a resolution of 15 nm using quadruple patterning at 193 nm (ref. 4). However, the costs associated with the extra processing steps are considerable, casting doubt over how cost-effective mass-production will be.

In this context, news that the source developer Cymer has shipped a fully integrated laser-produced plasma EUV light source to ASML gives cause for cautious optimism that a commercial EUV lithography system (and in principle another ten years of Moore's law) is in sight. Of course, developing the source is only part of the challenge, but it is still a significant achievement. In the source developed by Cymer, microscopic droplets of molten tin are fired through a vacuum chamber, tracked individually and then vapourized by a high-power pulsed infrared laser at a repetition rate of 50 kHz. The result is a high-temperature tin plasma that radiates light at 13.5 nm. A large EUV mirror collects the emission and directs it into a scanner where it passes through a photomask, before being projected onto the surface of a silicon wafer through a complex set of image-reducing mirrors.

It will be interesting to see if the EUV lithography systems are delivered next year as originally planned. ASML certainly appears to be confident. “Cymer and ASML are committed to bringing EUV lithography to production reality for chip makers worldwide,” said Martin van den Brink, Executive Vice President of Products and Technology at ASML. “EUV is by far the most cost-effective volume lithography technology for producing powerful next-generation semiconductors, and our achievements show how the equipment industry is making huge strides to introduce the first production-ready EUV lithography tools in 2010. This revolutionary new architecture provides chip manufacturers with the technology to extend the semiconductor shrinking process for another decade at least.”