Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Article
  • Published:

Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

Abstract

Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodology to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chemical vapour deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chemically patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapour-phase deposition, applicability to high-resolution BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Figure 1: iCVD to form a crosslinked topcoat directly on a BCP film.
Figure 2: Orientation control of high-χ BCP films using an iCVD topcoat and pattern transfer.
Figure 3: Sub-10-nm DSA patterning with the iCVD topcoat.
Figure 4: Cut-mask application with an integrated iCVD topcoat.
Figure 5: Mechanism of interfacial engineering with the iCVD topcoat.

Similar content being viewed by others

References

  1. Hawker, C. J. & Russell, T. P. Block copolymer lithography: merging ‘bottom-up’ with ‘top-down’ processes. MRS Bull. 30, 952–966 (2005).

    Article  CAS  Google Scholar 

  2. Ruiz, R. et al. Density multiplication and improved lithography by directed block copolymer assembly. Science 321, 936–939 (2008).

    Article  CAS  Google Scholar 

  3. Bita, I. et al. Graphoepitaxy of self-assembled block copolymers on two-dimensional periodic patterned templates. Science 321, 939–943 (2008).

    Article  CAS  Google Scholar 

  4. Cheng, J. Y. et al. Dense self-assembly on sparse chemical patterns: rectifying and multiplying lithographic patterns using block copolymers. Adv. Mater. 20, 3155–3158 (2008).

    Article  CAS  Google Scholar 

  5. Delgadillo, P. A. R. et al. Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment. J. Micro-Nanolith. MEM. 11, 031302 (2012).

    Google Scholar 

  6. Russell, T. P., Hjelm, R. P. & Seeger, P. A. Temperature dependence of the interaction parameter of polystyrene and poly(methyl methacrylate). Macromolecules 23, 890–893 (1990).

    Article  CAS  Google Scholar 

  7. Zhao, Y., Sivaniah, E. & Hashimoto, T. SAXS analysis of the order−disorder transition and the interaction parameter of polystyrene-block-poly(methyl methacrylate). Macromolecules 41, 9948–9951 (2008).

    Article  CAS  Google Scholar 

  8. Wan, L. et al. The limits of lamellae-forming PS-b-PMMA block copolymers for lithography. ACS Nano 9, 7506–7514 (2015).

    Article  CAS  Google Scholar 

  9. Sinturel, C., Bates, F. S. & Hillmyer, M. A. High χ–low N block polymers: How far can we go? ACS Macro Lett. 4, 1044–1050 (2015).

    Article  CAS  Google Scholar 

  10. Keen, I. et al. Control of the orientation of symmetric poly(styrene)-block-poly(D,L-lactide) block copolymers using statistical copolymers of dissimilar composition. Langmuir 28, 15876–15888 (2012).

    Article  CAS  Google Scholar 

  11. Kim, S., Nealey, P. F. & Bates, F. S. Decoupling bulk thermodynamics and wetting characteristics of block copolymer thin films. ACS Macro Lett. 1, 11–14 (2011).

    Article  Google Scholar 

  12. Cheng, J. et al. PS-b-PHEMA: synthesis, characterization, and processing of a high χ polymer for directed self-assembly lithography. Proc. SPIE 8680, 86801W (2013).

  13. Kim, S. H. et al. Highly oriented and ordered arrays from block copolymers via solvent evaporation. Adv. Mater. 16, 226–231 (2004).

    Article  CAS  Google Scholar 

  14. Bosworth, J. K., Dobisz, E. & Ruiz, R. 20 nm pitch directed block copolymer assembly using solvent annealing for bit patterned media. J. Photopolym. Sci. Technol. 23, 145–148 (2010).

    Article  CAS  Google Scholar 

  15. Sinturel, C., Vayer, M., Morris, M. & Hillmyer, M. A. Solvent vapor annealing of block polymer thin films. Macromolecules 46, 5399–5415 (2013).

    Article  CAS  Google Scholar 

  16. Xiong, S. et al. Directed self-assembly of triblock copolymer on chemical patterns for sub-10-nm nanofabrication via solvent annealing. ACS Nano 10, 7855–7865 (2016).

    Article  CAS  Google Scholar 

  17. Bates, C. M. et al. Polarity-switching top coats enable orientation of sub-10-nm block copolymer domains. Science 338, 775–779 (2012).

    Article  CAS  Google Scholar 

  18. Nealey, P. F. et al. Directed assembly of block copolymer films between a chemically patterned surface and a second surface. US patent 13/619,525 (2012).

  19. Yoshida, H. et al. Topcoat approaches for directed self-assembly of strongly segregating block copolymer thin films. J. Photopolym. Sci. Technol. 26, 55–58 (2013).

    Article  CAS  Google Scholar 

  20. Ramírez-Hernández, A., Suh, H. S., Nealey, P. F. & de Pablo, J. J. Control of directed self-assembly in block polymers by polymeric topcoats. Macromolecules 47, 3520–3527 (2014).

    Article  Google Scholar 

  21. Cushen, J. et al. Double-patterned sidewall directed self-assembly and pattern transfer of sub-10 nm PTMSS-b-PMOST. ACS Appl. Mater. Interfaces 7, 13476–13483 (2015).

    Article  CAS  Google Scholar 

  22. Maher, M. J. et al. Directed self-assembly of silicon-containing block copolymer thin films. ACS Appl. Mater. Interfaces 7, 3323–3328 (2015).

    Article  CAS  Google Scholar 

  23. Willson, C. G. et al. Using chemical vapor deposited films to control domain orientation in block copolymer thin films. US patent US9040121 B2 (2015).

  24. Tenhaeff, W. E. & Gleason, K. K. Initiated and oxidative chemical vapor deposition of polymeric thin films: iCVD and oCVD. Adv. Funct. Mater. 18, 979–992 (2008).

    Article  CAS  Google Scholar 

  25. Reeja-Jayan, B. et al. A route towards sustainability through engineered polymeric interfaces. Adv. Mater. Interfaces 1, 1400117 (2014).

    Article  Google Scholar 

  26. Coclite, A. M. et al. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication. Adv. Mater. 25, 5392–5423 (2015).

    Article  Google Scholar 

  27. Yang, R., Buonassisi, T. & Gleason, K. K. Organic vapor passivation of silicon at room temperature. Adv. Mater. 25, 2078–2083 (2013).

    Article  CAS  Google Scholar 

  28. Ross, A. M. & Lahann, J. Current trends and challenges in biointerfaces science and engineering. Annu. Rev. Chem. Biomol. Eng. 6, 161–186 (2015).

    Article  CAS  Google Scholar 

  29. Ozaydin-Ince, G. & Gleason, K. K. Transition between kinetic and mass transfer regimes in the initiated chemical vapor deposition from ethylene glycol diacrylate. J. Vacuum Sci. Technol. A 27, 1135–1143 (2009).

    Article  CAS  Google Scholar 

  30. Liu, A., Goktekin, E. & Gleason, K. K. Cross-linking and ultrathin grafted gradation of fluorinated polymers synthesized via initiated chemical vapor deposition to prevent surface reconstruction. Langmuir 30, 14189–14194 (2014).

    Article  CAS  Google Scholar 

  31. Russell, T. X-ray and neutron reflectivity for the investigation of polymers. Mater. Sci. Rep. 5, 171–271 (1990).

    Article  CAS  Google Scholar 

  32. Peng, Q., Tseng, Y. C., Darling, S. B. & Elam, J. W. Nanoscopic patterned materials with tunable dimensions via atomic layer deposition on block copolymers. Adv. Mater. 22, 5129–5133 (2010).

    Article  CAS  Google Scholar 

  33. Petruczok, C. D., Yang, R. & Gleason, K. K. Controllable cross-linking of vapor-deposited polymer thin films and impact on material properties. Macromolecules 46, 1832–1840 (2013).

    Article  CAS  Google Scholar 

  34. Liu, C.-C. et al. Toward electrical testable SOI devices using DSA for fin formation. Proc. SPIE 9049, 904909 (2014).

    Article  Google Scholar 

  35. Tsai, H. et al. Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication. ACS Nano 8, 5227–5232 (2014).

    Article  CAS  Google Scholar 

  36. Ruiz, R., Dobisz, E. & Albrecht, T. R. Rectangular patterns using block copolymer directed assembly for high bit aspect ratio patterned media. ACS Nano 5, 79–84 (2011).

    Article  CAS  Google Scholar 

  37. Socrates, G. Infrared and Raman Characteristic Group Frequencies: Tables and Charts (John Wiley & Sons, 2004).

    Google Scholar 

  38. Shelkov, R. & Melman, A. Free-radical approach to 4-substituted dipicolinates. Eur. J. Org. Chem. 2005, 1397–1401 (2005).

    Article  Google Scholar 

  39. Minisci, F. et al. Nucleophilic character of alkyl radicals—VI: a new convenient selective alkylation of heteroaromatic bases. Tetrahedron 27, 3575–3579 (1971).

    Article  CAS  Google Scholar 

  40. Durand, W. J. et al. Experimental and modeling study of domain orientation in confined block copolymer thin films. Macromolecules 49, 308–316 (2016).

    Article  CAS  Google Scholar 

  41. Gupta, M. & Gleason, K. K. Large-scale initiated chemical vapor deposition of poly(glycidyl methacrylate) thin films. Thin Solid Films 515, 1579–1584 (2006).

    Article  CAS  Google Scholar 

  42. Ji, S. et al. Generalization of the use of random copolymers to control the wetting behavior of block copolymer films. Macromolecules 41, 9098–9103 (2008).

    Article  CAS  Google Scholar 

  43. Lau, K. K. S. & Gleason, K. K. Initiated chemical vapor deposition (iCVD) of poly(alkyl acrylates): an experimental study. Macromolecules 39, 3688–3694 (2006).

    Article  CAS  Google Scholar 

  44. Johnston, D. E., Lu, M. & Black, C. T. Plasma etch transfer of self-assembled polymer patterns. J. Micro-Nanolith. MEM. 11, 031306 (2012).

    Google Scholar 

  45. Jiang, Z. et al. The dedicated high-resolution grazing-incidence X-ray scattering beamline 8-ID-E at the Advanced Photon Source. J. Synchrotron Rad. 19, 627–636 (2012).

    Article  CAS  Google Scholar 

  46. Inoue, T. et al. Molecular transfer printing of block copolymer patterns over large areas with conformal layers. Adv. Mater. Interfaces 2, 1500133 (2015).

    Article  Google Scholar 

Download references

Acknowledgements

The authors acknowledge support from the National Science Foundation (Award no. 1344891). This work was supported in part by the US Army Research Laboratory and the US Army Research Office through the Institute for Soldier Nanotechnologies, under Contract no. W911NF-13-D-0001. Use of the CNM and APS, an Office of Science User Facility operated for the US Department of Energy (DOE) Office of Science by Argonne National Laboratory, is supported by the US DOE under Contract no. DE-AC02-06CH11357. For XPS, the authors made use of the Cornell Center for Materials Research Shared Facilities, which are supported by the National Science Foundation Materials Research Science and Engineering Centers program (DMR-1120296). We thank G. Frache for helpful discussion on the ToF–SIMS data and C. Zhou for help in preparing the XPS samples. We thank EMD Performance Materials for supporting materials.

Author information

Authors and Affiliations

Authors

Contributions

H.S.S. and D.H.K. contributed equally to this work, designing and carrying out the experiments, and analysing the data, with supervision from K.K.G. and P.F.N. P.M. contributed to characterization of the surfaces and discussed the mechanism. S.X. helped to optimize the SIS process. L.E.O. contributed to the nanofabrication processes, including e-beam lithography, SIS and RIE. N.J.Z. helped to characterize the samples using electron microscopes. H.S.S., D.H.K., K.K.G. and P.F.N. wrote the manuscript.

Corresponding authors

Correspondence to Karen K. Gleason or Paul F. Nealey.

Ethics declarations

Competing interests

The authors declare no competing financial interests.

Supplementary information

Supplementary information

Supplementary information (PDF 560 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Suh, H., Kim, D., Moni, P. et al. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat. Nature Nanotech 12, 575–581 (2017). https://doi.org/10.1038/nnano.2017.34

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/nnano.2017.34

This article is cited by

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing