Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Article
  • Published:

A hardwired machine learning processing engine fabricated with submicron metal-oxide thin-film transistors on a flexible substrate

Abstract

Flexible electronics can create lightweight, conformable components that could be integrated into smart systems for applications in healthcare, wearable devices and the Internet of Things. Such integrated smart systems will require a flexible processing engine to address their computational needs. However, the flexible processors demonstrated so far are typically fabricated using low-temperature poly-silicon thin-film transistor (TFT) technology, which has a high manufacturing cost, and the processors that have been created with low-cost metal-oxide TFT technology have limited computational capabilities. Here, we report a processing engine that is fabricated with a commercial 0.8-μm metal-oxide TFT technology. We develop a resource-efficient machine learning algorithm (the ‘univariate Bayes feature voting classifier’) and demonstrate its implementation with hardwired parameters as a flexible processing engine for an odour recognition application. Our flexible processing engine contains around 1,000 logic gates and has a gate density per area that is 20–45 times higher than other digital integrated circuits built with metal-oxide TFTs.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: OFET sensors and system architecture of the flexible smart system.
Fig. 2: Design space exploration with various ML algorithms.
Fig. 3: The UB-FVC.
Fig. 4: Implementation of the UB-FVC as a natively flexible processing engine.

Similar content being viewed by others

Data availability

The data that support the plots within this paper and other findings of this study are available from the corresponding author upon reasonable request.

Code availability

The code used to generate the plots within this paper is available from the corresponding author upon reasonable request.

References

  1. OE-A Roadmap for Organic and Printed Electronics White Paper 8th Edn (OE-A, 2020).

  2. Nathan, A. et al. Flexible electronics: the next ubiquitous platform. Proc. IEEE 100, 1486–1517 (2012).

    Article  Google Scholar 

  3. Kelly, P. H. J. Architecture and software for when there’s no longer plenty of room at the bottom. In Report from Dagstuhl Seminar 17061 (Eds. Castrillón-Mazo, J. et al.) https://doi.org/10.4230/DagRep.7.2.1 (Dagstuhl, 2017).

  4. Lee, E. A. Programmable DSP architectures: part I. IEEE ASSP Mag. 5, 4–19 (1988).

    Article  Google Scholar 

  5. Fisher, J. A., Faraboschi, P. & Desoli, G. Custom-fit processors: letting applications define architectures. In Proc. 29th Annual IEEE/ACM Int. Symposium on Microarchitecture (MICRO-29) 324–335 (IEEE, 1996).

  6. Hennessy, J. L. & Patterson, D. A. A new golden age for computer architecture. Commun. ACM 62, 48–60 (2019).

    Article  Google Scholar 

  7. Flex-ICs: Silicon-on-Polymer Products (American Semiconductor, 2020); https://www.americansemi.com/flex-ics.html

  8. Gupta, S., Navaraj, W. T., Lorenzelli, L. & Dahiya, R. Ultra-thin chips for high-performance flexible electronics. npj Flex. Electron. 2, 8 (2018).

    Article  Google Scholar 

  9. Harendt, C. et al. Hybrid systems in foil (HySiF) exploiting ultra-thin flexible chips. In 44th European Solid-State Device Research Conference (ESSDERC) 210–213 (IEEE, 2014).

  10. Khan, S., Lorenzelli, L. & Dahiya, R. Technologies for printing sensors and electronics over large flexible substrates: a review. IEEE Sens. J. 15, 3164–3185 (2015).

    Article  Google Scholar 

  11. Takayama, T. et al. A CPU on a plastic film substrate. In Symposium on VLSI Technology 230–231 (IEEE, 2004).

  12. Dembo, H. et al. RFCPUs on glass and plastic substrates fabricated by TFT transfer technology. In IEEE Int. Electron Devices Meeting (IEDM) 125–127 (IEEE, 2005).

  13. Karaki, N. et al. A flexible 8 b asynchronous microprocessor based on low-temperature poly-silicon TFT technology. In IEEE Int. Solid-State Circuits Conf. (ISSCC) 272–273 (IEEE, 2005).

  14. Kurokawa, Y. et al. UHF RFCPUs on flexible and glass substrates for secure RFID systems. IEEE J. Solid State Circ. 43, 292–299 (2008).

    Article  Google Scholar 

  15. Hills, G. et al. Modern microprocessor built from complementary carbon nanotube transistors. Nature 572, 595–602 (2019).

    Article  Google Scholar 

  16. Petti, L. et al. Metal oxide semiconductor thin-film transistors for flexible electronics. Appl. Phys. Rev. 3, 021303 (2016).

    Article  Google Scholar 

  17. Myny, K. The development of flexible integrated circuits based on thin-film transistors. Nat. Electron. 1, 30–39 (2018).

    Article  Google Scholar 

  18. Myny, K., van Veenendaal, E., Gelinck, G. H., Genoe, J. & Dehaene, W. An 8-bit, 40-instructions-per-second organic microprocessor on plastic foil. IEEE J. Solid State Circ. 47, 284–291 (2012).

    Article  Google Scholar 

  19. Myny, K. et al. 8 b thin-film microprocessor using a hybrid oxide-organic complementary technology with inkjet-printed P2ROM memory. In IEEE Int. Solid-State Circuits Conf. (ISSCC) 486–487 (IEEE, 2014).

  20. FlexLogIC (PragmatIC, 2020); https://www.pragmatic.tech/create-more/devices

  21. Torsi, L., Magliulo, M., Manoli, K. & Palazzo, G. Organic field-effect transistor sensors: a tutorial review. Chem. Soc. Rev. 42, 8612–8628 (2013).

    Article  Google Scholar 

  22. Tate, D. J. et al. Fully solution processed low voltage OFET platform for vapour sensing applications. In ISOCS/IEEE Int. Symposium on Olfaction and Electronic Nose 1–3 (IEEE, 2017).

  23. Rahmanudin, A. et al. Robust high‐capacitance polymer gate dielectrics for stable low‐voltage organic field‐effect transistor sensors. Adv. Electron. Mater. 6, 1901127 (2020).

    Article  Google Scholar 

  24. Ozer, E. et al. Bespoke machine learning processor development framework on flexible substrates. In IEEE Int. Conf. Flexible and Printable Sensors and Systems (FLEPS) 1–3 (IEEE, 2019).

  25. Myny, K. et al. A flexible ISO14443-A compliant 7.5-mW 128 b metal-oxide NFC barcode tag with direct clock division circuit from 13.56-MHz carrier. In IEEE Int. Solid-State Circuits Conf. (ISSCC) 258–259 (IEEE, 2017).

Download references

Acknowledgements

This work is partially supported by Innovate UK through the ‘PlasticARMPit: Accelerating the Development of Flexible Integrated Smart Systems (no. 103390)’ project.

Author information

Authors and Affiliations

Authors

Contributions

E.O. and G.B. conceived the UB-FVC model. E.O., J.K. and J.B. designed and implemented the model as an NFPE. A.R., A.S., C.R. and S.W. developed the fabrication process and methodology for the NFPE. All authors contributed to analysis of the data generated in the design, implementation and fabrication of the NFPE. E.O., J.K., J.M., J.B., C.R. and S.W. wrote the paper.

Corresponding author

Correspondence to Emre Ozer.

Ethics declarations

Competing interests

The authors declare no competing interests.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Extended data

Extended Data Fig. 1 Transfer characteristics.

Forward transfer characteristic of a metal-oxide TFT.

Extended Data Fig. 2 NFPE simulation results.

The column on the left shows the list of input, intermediate and output signals. Sensor[4:0] and Address[2:0] are the inputs, and represent the 5-bit sensor data, and 3-bit sensor address, respectively. SensorX_vote[4:0] is intermediate signals, and represent the 5-bit BC coefficients (essentially votes) for each sensor. Finally, Output[4:0] shows the 5-bit one-hot predicted class as output.

Extended Data Fig. 3 One-hot coefficients to represent BCs.

The top row shows the sensor data values from 0 to 31. For each sensor value, the BC or vote of the sensor is predetermined and hardwired in the microarchitecture.

Extended Data Fig. 4 NFPE chip measurement results of a fabricated chip for the same set-up as in the simulation.

This is the waveform captured from the logic analyser. All inputs and outputs are shown as individual signals. Sensor_X and Address_X are input signals, and represent the 5-bit sensor data and 3-bit address. Output_X represents the 5-bit one-hot predicted class output signals.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ozer, E., Kufel, J., Myers, J. et al. A hardwired machine learning processing engine fabricated with submicron metal-oxide thin-film transistors on a flexible substrate. Nat Electron 3, 419–425 (2020). https://doi.org/10.1038/s41928-020-0437-5

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s41928-020-0437-5

This article is cited by

Search

Quick links

Nature Briefing AI and Robotics

Sign up for the Nature Briefing: AI and Robotics newsletter — what matters in AI and robotics research, free to your inbox weekly.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing: AI and Robotics