Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Review Article
  • Published:

Metrology for the next generation of semiconductor devices

A Publisher Correction to this article was published on 09 November 2018

This article has been updated

Abstract

The semiconductor industry continues to produce ever smaller devices that are ever more complex in shape and contain ever more types of materials. The ultimate sizes and functionality of these new devices will be affected by fundamental and engineering limits such as heat dissipation, carrier mobility and fault tolerance thresholds. At present, it is unclear which are the best measurement methods needed to evaluate the nanometre-scale features of such devices and how the fundamental limits will affect the required metrology. Here, we review state-of-the-art dimensional metrology methods for integrated circuits, considering the advantages, limitations and potential improvements of the various approaches. We describe how integrated circuit device design and industry requirements will affect lithography options and consequently metrology requirements. We also discuss potentially powerful emerging technologies and highlight measurement problems that at present have no obvious solution.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: Proposed advanced integrated circuit devices.
Fig. 2: Advanced CD-SEM imaging.
Fig. 3: CD-SAXS operations and feature shape models.
Fig. 4: Principles of optical scatterometry with future challenges.
Fig. 5: Combined TEM and AFM measurements.

Similar content being viewed by others

Change history

  • 09 November 2018

    In the version of this Review Article originally published, the labelling of the reflected beam in Fig. 4a was incorrect. This has now been corrected in the Review Article.

References

  1. Markov, I. L. Limits on fundamental limits to computation. Nature 512, 147–154 (2014). This paper surveys different limits to computation, with emphasis on limits posed by device manufacturing and scaling; it identifies fundamental limits and ones that could be circumvented.

    Google Scholar 

  2. Mack, C. A. Fifty years of Moore’s law. IEEE Trans. Semicond. Manuf. 24, 202–207 (2011). This paper gives a broad historical overview of Moore’s law, and outlines technological trends, technical and economic reasons why Moore’s law may not continue to hold.

    Google Scholar 

  3. Khan, H. N., Hounshell, D. A. & Fuchs, E. R. H. Science and research policy at the end of Moore’s law. Nat. Electron. 1, 14–21 (2018).

    Google Scholar 

  4. 2017 International Roadmap for Devices and Systems (IRDS)Metrology (IEEE, Piscataway, 2018); https://irds.ieee.org/images/files/pdf/2017/2017IRDS_MET.pdf This is the current International Roadmap for Devices and Systems (formerly ITRS) metrology roadmap, and contains information on key drivers and metrology technology requirements for integrated circuit device parameters.

  5. Veloso, A. et al. Vertical nanowire FET integration and device aspects. ECS Trans. 72, 31–42 (2016).

    Google Scholar 

  6. Ma, Z. & Seiler, D. G. (eds) Metrology and Diagnostic Techniques for Nanoelectronics (Pan Sanford, New York, 2017). This book contains overviews of other nanoelectronics characterization methods not covered in this Review Article.

  7. Iannaccone, G., Bonaccorso, F., Colombo, L. & Fiori, G. Quantum engineering of transistors based on 2D materials heterostructures. Nat. Nanotech. 13, 183–191 (2018).

    Google Scholar 

  8. Liddle, J. A. & Gallatin, G. M. Lithography, metrology and nanomanufacturing. Nanoscale 3, 2679–2688 (2011).

    Google Scholar 

  9. Badaroglu, M. et al. PPAC scaling enablement for 5 nm mobile SoC technology. In 47th European Solid-State Device Research Conference (ESSDERC) (IEEE, 2017); https://doi.org/10.1109/ESSDERC.2017.8066636.

  10. Auth, C. et al. A 10 nm high performance and low-power CMOS technology featuring 3rd-generation FinFET transistors, self-aligned quad patterning, contact over active gate and cobalt local interconnects. In IEEE International Electron Devices Meeting (IEDM) (IEEE, 2017); https://doi.org/10.1109/IEDM.2017.8268472

  11. Loubet, N. et al. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In 2017 Symposium on VLSI Technology (IEEE, 2017); https://doi.org/10.23919/VLSIT.2017.7998183

  12. Wu, S. Y. et al. A 7 nm CMOS platform technology featuring 4th-generation FinFET transistors with a 0.027 μm2 high density 6-T SRAM cell for mobile SOC applications. In 2016 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2016); https://doi.org/10.1109/IEDM.2016.7838333

  13. DeBenedictis, E. P., Badaroglu, M., Chen, A., Conte, T. M. & Gargini, P. Sustaining Moore’s law with 3D chips. Computer 50, 69–73 (2017).

    Google Scholar 

  14. Shulaker, M. M. et al. Monolithic 3D integration: a path from concept to reality. In 2015 Design, Automation & Test in Europe Conference and Exhibition (DATE) (IEEE, 2015); https://doi.org/10.7873/DATE.2015.1111

  15. 2017 International Roadmap for Devices and Systems (IRDS)More Moore (IEEE, Piscataway, 2018); https://irds.ieee.org/images/files/pdf/2017/2017IRDS_MM.pdf

  16. Feng, P. et al. Comparative analysis of semiconductor device architectures for 5-nm node and beyond. IEEE Electron Device Lett. 38, 1657–1660 (2017).

    Google Scholar 

  17. Ciofi, I. et al. Modeling of via resistance for advanced technology nodes. IEEE Trans. Electron Dev. 64, 2306–2313 (2017).

    Google Scholar 

  18. Nagy, D. et al. FinFET versus gate-all-around nanowire FET: performance, scaling and variability. IEEE J. Electron Dev. Soc. 6, 332–340 (2018).

    Google Scholar 

  19. Takamasu, K., Takahashi, S., Kawada, H. & Ikota, M. Linewidth roughness of advanced semiconductor features using focused ion beam and planar-transmission electron microscope as reference metrology. J. of Micro/Nanolith. MEMS MOEMS 17, 041010 (2018).

    Google Scholar 

  20. Lorusso, G. F. et al. Need for LWR metrology standardization: the imec roughness protocol. J. Micro/Nanolith. MEMS MOEMS 17, 041009 (2018).

    Google Scholar 

  21. Fukuda, H. et al. Measurement of pattern roughness and local size variation using CD-SEM. J. Micro/Nanolith. MEMS MOEMS 17, 041004 (2018).

    Google Scholar 

  22. Vorburger, T. V., Fu, J. & Orji, N. G. In the rough. Opt. Eng. Mag. 31–34 (March, 2002); https://doi.org/10.1117/2.5200203.0008

  23. Liang, A. et al. Unbiased roughness measurements: the key to better etch performance. In Proc. SPIE 10585, 1058524 (SPIE, 2018); https://doi.org/10.1117/12.2297328

  24. Mack, C. A. Reducing roughness in extreme ultraviolet lithography. J. Micro/Nanolith. MEMS MOEMS 17, 041006 (2018).

    Google Scholar 

  25. Shuang, P., Peng, L. & Qiangfei, X. Fabrication of sub-10 nm metal nanowire arrays with sub-1 nm critical dimension control. Nanotechnology 27, 464004 (2016).

    Google Scholar 

  26. Bisschop, P. D. Stochastic effects in EUV lithography: random, local CD variability, and printing failures. J. Micro/Nanolith. MEMS MOEMS 16, 041013 (2017).

    Google Scholar 

  27. Wei, H., Shulaker, M., Wong, H. P. & Mitra, S. Monolithic three-dimensional integration of carbon nanotube FET complementary logic circuits. In 2013 IEEE International Electron Devices Meeting (IEEE, 2013); https://doi.org/10.1109/IEDM.2013.6724663

  28. Yu, S. et al. 3D vertical RRAM—scaling limit analysis and demonstration of 3D array operation. In Proc. IEEE Symp. 2013 VLSI Technol. (VLSI-T) T158–T159 (IEEE, 2013).

  29. Roy, T. et al. Dual-gated MOS2/WSE2 van der Waals tunnel diodes and transistors. ACS Nano 9, 2071–2079 (2015).

    Google Scholar 

  30. Desai, S. B. et al. Mos2 transistors with 1-nanometer gate lengths. Science 354, 99–102 (2016).

    Google Scholar 

  31. Diaz Llorente, C. et al. New insights on SOI tunnel FETs with low-temperature process flow for Coolcube integration. Solid·State Electron. 144, 78–85 (2018).

    Google Scholar 

  32. Pi, S., Lin, P., Jiang, H., Li, C. & Xia, Q. Device engineering and CMOS integration of nanoscale memristors. In 2014 IEEE International Symposium on Circuits and Systems (ISCAS) (IEEE, 2014).

  33. Strukov, D. B., Snider, G. S., Stewart, D. R. & Williams, R. S. The missing memristor found. Nature 453, 80–83 (2008).

    Google Scholar 

  34. Yang, J. J., Strukov, D. B. & Stewart, D. R. Memristive devices for computing. Nat. Nanotech. 8, 13–24 (2012).

    Google Scholar 

  35. Zidan, M. A., Strachan, J. P. & Lu, W. D. The future of electronics based on memristive systems. Nat. Electron. 1, 22–29 (2018).

    Google Scholar 

  36. Wang, M. et al. Robust memristors based on layered two-dimensional materials. Nat. Electron. 1, 130–136 (2018).

    Google Scholar 

  37. Rodriguez-Fernandez, A., Cagli, C., Perniola, L., Miranda, E. & Sune, J. Characterization of HfO2-based devices with indication of second order memristor effects. Microelectron. Eng. 195, 101–106 (2018).

    Google Scholar 

  38. Li, C. et al. Three-dimensional crossbar arrays of self-rectifying Si/SiO2/Si memristors. Nat. Commun. 8, 15666 (2017).

    Google Scholar 

  39. Pi, S., Jiang, H., Xin, H., Yang, J. J. & Xia, Q. Memristor crossbars with 4.5 terabits-per-inch-square density and two nanometer dimension. Preprint at https://arxiv.org/abs/1804.09848 (2018).

  40. Blachut, G. et al. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures. In Proc. SPIE 10589, 1058907 (SPIE, 2018); https://doi.org/10.1117/12.2297489

  41. Reche, J. et al. Programmed line width roughness metrology by multitechniques approach. J. Micro/Nanolith. MEMS MOEMS 17, 041005 (2018).

    Google Scholar 

  42. Vogel, E. Technology and metrology of new electronic materials and devices. Nat. Nanotech. 2, 25 (2007).

    Google Scholar 

  43. Mehr, W. et al. Vertical graphene base transistor. IEEE Electron Device Lett. 33, 691–693 (2012).

    Google Scholar 

  44. Haigh, S. J. et al. Cross-sectional imaging of individual layers and buried interfaces of graphene-based heterostructures and superlattices. Nat. Mater. 11, 764 (2012).

    Google Scholar 

  45. Tanmoy, D. et al. Vertical field effect tunneling transistor based on graphene-ultrathin Si nanomembrane heterostructures. 2D Mater. 2, 044006 (2015).

    Google Scholar 

  46. Kuhn, M. et al. in Metrology and Diagnostic Techniques for Nanoelectronics (eds Ma, Z. & Seiler, D. G.) 207–376 (Pan Stanford, New York, 2017).

  47. Bunday, B. D., Bello, A., Solecky, E. & Vaid, A. 7/5nm logic manufacturing capabilities and requirements of metrology. In ​Proc. SPIE 10585, 105850I (2018); https://doi.org/10.1117/12.2296679

  48. Diebold, A. C. Nanoscale characterization and metrology. J. Vacuum Sci. Technol. A 31, 050804 (2013).

    Google Scholar 

  49. Vladár, A. in Metrology and Diagnostic Techniques for Nanoelectronics (eds Ma, Z. & Seiler, D. G.) 3–30 (Pan Stanford, New York, 2017).

  50. Mack, C. A. & Bunday, B. CD-SEM algorithm optimization for line roughness metrology. In Proc. SPIE 10585, 105850G (SPIE, 2018); https://doi.org/10.1117/12.2297426

  51. Bunday, B. et al. The coming of age of tilt CD-SEM. In ​Proc. SPIE 6518, 65181S (SPIE, 2007); https://doi.org/10.1117/12.714214

  52. Vladár, A. E. et al. 10 nm three-dimensional CD-SEM metrology. In ​Proc. SPIE 9050, 90500A (SPIE, 2014); https://doi.org/10.1117/12.2045977

  53. Villarrubia, J. S. et al. Scanning electron microscope measurement of width and shape of 10nm patterned lines using a JMONSEL-modeled library. Ultramicroscopy 154, 15–28 (2015).

    Google Scholar 

  54. Solecky, E. et al. In-line e-beam metrology and defect inspection: industry reflections, hybrid e-beam opportunities, recommendations and predictions. In ​Proc. SPIE 10145, 101450R (SPIE, 2017); https://doi.org/10.1117/12.2261524

  55. Hasumi, K. et al. SEM-based overlay measurement between via patterns and buried M1 patterns using high-voltage SEM. In Proc. SPIE 10145, 101451J (SPIE, 2017); https://doi.org/10.1117/12.2257848

  56. Weisbuch, F., Lutich, A. A. & Schatz, J. Introducing etch kernels for efficient pattern sampling and etch biasprediction. J. Micro/Nanolith. MEMS MOEMS 17, 013505 (2018).

    Google Scholar 

  57. Hitomi, K. Improvement of optical proximity-effect correction model accuracy by hybrid opticalproximity-effect correction modeling and shrink correction technique for 10-nm node process. J. Micro/Nanolith. MEMS MOEMS 15, 034002 (2016).

    Google Scholar 

  58. Sunaoshi, T., Kaji, K., Orai, Y., Schamp, C. T. & Voelkl, E. STEM/SEM, chemical analysis, atomic resolution and surface imaging at ≤ 30 kV with no aberration correction for nanomaterials on graphene support. Microsc. Microanal. 22, 604–605 (2016).

    Google Scholar 

  59. Kruit, P. et al. Designs for a quantum electron microscope. Ultramicroscopy 164, 31–45 (2016).

    Google Scholar 

  60. Cizmar, P., Vladár, A. E. & Postek, M. T. Real-time scanning charged-particle microscope image composition with correction of drift. Microsc. Microanal. 17, 302–308 (2011).

    Google Scholar 

  61. Sznitman, R., Lucchi, A., Frazier, P., Jedynak, B. & Fua, P. An optimal policy for target localization with application to electron microscopy. In Proc. 30th International Conference on Machine Learning 28, 1–9 (PMLR, 2013); http://proceedings.mlr.press/v28/sznitman13.html

  62. Lazar, A. & Fodor, P. S. Sparsity based noise removal from low dose scanning electron microscopy images. In Proc. SPIE 9401, 940105 (SPIE, 2015); https://doi.org/10.1117/12.2078438

  63. Staniewicz, L. & Midgley, P. A. Machine learning as a tool for classifying electron tomographic reconstructions. Adv. Struct. Chem. Imag. 1, 9 (2015).

    Google Scholar 

  64. Marx, V. Brain mapping in high resolution. Nature 503, 147–152 (2013). This article describes a multi beam SEM with 61 electron beams and 61 secondary electron detectors.

    Google Scholar 

  65. de Goede, M., Johlin, E., Sciacca, B., Boughorbel, F. & Garnett, E. C. 3D multi-energy deconvolution electron microscopy. Nanoscale 9, 684–689 (2017). This paper describes the use of detected backscattered electrons from multiple primary beam energies to reconstruct 3D structure of samples on bulk substrates.

    Google Scholar 

  66. Bunday, B., Cepler, A., Cordes, A. & Arceo, A. CD-SEM metrology for sub-10nm width features. In Proc. SPIE 9050, 90500T (SPIE, 2014); https://doi.org/10.1117/12.2047099

  67. Yang, Y. & Huang, R. Probing memristive switching in nanoionic devices. Nat. Electron. 1, 274–287 (2018).

    Google Scholar 

  68. Liddle, J. A., Hoskins, B. D., Vladár, A. E. & Villarrubia, J. S. Electron beam-based metrology after CMOS. APL Mater. 6, 070701 (2018).

    Google Scholar 

  69. Jones, R. L. et al. Small angle X-ray scattering for sub-100 nm pattern characterization. Appl. Phys. Lett. 83, 4059–4061 (2003).

    Google Scholar 

  70. Sunday, D. & Kline, R. in Metrology and Diagnostic Techniques for Nanoelectronics (eds Ma, Z. & Seiler, D. G.) 31–64 (Pan Stanford, New York, 2017).

  71. Brian Richard, P. Everything SAXS: small-angle scattering pattern collection and correction. J. Phys. Cond. Matt. 25, 383201 (2013).

    Google Scholar 

  72. Kline, R. J., Sunday, D. F., Windover, D. & Bunday, B. D. X-ray scattering critical dimensional metrology using a compact X-ray source for next generation semiconductor devices. J. Micro/Nanolith. MEMS MOEMS 16, 014001 (2017).

    Google Scholar 

  73. Sunday, D. F. et al. Determination of the internal morphology of nanostructures patterned by directed self assembly. ACS Nano 8, 8426–8437 (2014).

    Google Scholar 

  74. Sunday, D. F., List, S., Chawla, J. S. & Kline, R. J. Determining the shape and periodicity of nanostructures using small-angle X-ray scattering. J. Appl. Crystallogr. 48, 1355–1363 (2015).

    Google Scholar 

  75. Sunday, D. F. et al. Characterizing patterned block copolymer thin films with soft X-rays. ACS Appl. Mater. Interf. 9, 31325–31334 (2017).

    Google Scholar 

  76. Sunday, D. F. et al. Determination of the internal morphology of nanostructures patterned by directed self assembly. ACS Nano 8, 8426–8437 (2014).

    Google Scholar 

  77. Holler, M. et al. High-resolution non-destructive three-dimensional imaging of integrated circuits. Nature 543, 402–406 (2017).

    Google Scholar 

  78. Raymond, C. J. et al. Multiparameter grating metrology using optical scatterometry. J. Vacuum Sci. Technol. B 15, 361–368 (1997).

    Google Scholar 

  79. Huang, H.-T. & Terry, F. L.Jr. Erratum to “Spectroscopic ellipsometry and reflectometry from gratings(scatterometry) for critical dimension measurement and in situ, real-time process monitoring.”. Thin Solid Films 468, 339–346 (2004).

    Google Scholar 

  80. O’Mullane, S., Dixit, D. & Diebold, A. in Metrology and Diagnostic Techniques for Nanoelectronics (eds Ma, Z. & Seiler, D. G.) 65–108 (Pan Stanford, New York, 2017).

  81. den Boef, A. J. Optical wafer metrology sensors for process-robust CD and overlay control in semiconductor device manufacturing. Surface Topography—Metrology and Properties 4, 15 (2016).

    Google Scholar 

  82. Peled, E. et al. Spectral tunability for accuracy, robustness, and resilience. In Proc. SPIE 10585, 105850S (SPIE, 2018); https://doi.org/10.1117/12.2300507

  83. Gutjahr, K. et al. Root cause analysis of overlay metrology excursions with scatterometry overlay technology (SCOL). In Proc. SPIE 9778, 97781M (SPIE, 2016); https://doi.org/10.1117/12.2219668

  84. Endres, J., Diener, A., Wurm, M. & Bodermann, B. Investigations of the influence of common approximations in scatterometry for dimensional nanometrology. Meas. Sci. Technol. 25, 044004 (2014).

    Google Scholar 

  85. Germer, T. A., Patrick, H. J., Silver, R. M. & Bunday, B. Developing an uncertainty analysis for optical scatterometry. In Proc. SPIE 7272, 72720T (SPIE, 2009); https://doi.org/10.1117/12.814835

  86. Novikova, T., De Martino, A., Hatit, S. B. & Drévillon, B. Application of Mueller polarimetry in conical diffraction for critical dimension measurements in microelectronics. Appl. Opt. 45, 3688–3697 (2006).

    Google Scholar 

  87. Liu, S., Chen, X. & Zhang, C. Development of a broadband Mueller matrix ellipsometer as a powerful tool for nanostructure metrology. Thin Solid Films 584, 176–185 (2015).

    Google Scholar 

  88. Dixit, D. et al. Nonconventional applications of Mueller matrix-based scatterometry for advanced technology nodes. J. Micro/Nanolith. MEMS MOEMS 17, 034001 (2018).

    Google Scholar 

  89. Dey, S., Diebold, A., Keller, N. & Korde, M. Muller matrix spectroscopic ellipsometry based scatterometry simulations of Si and Si/SixGe1-x/Si/SixGe1-x/Si fins for sub-7nm node gate-all-around transistor metrology. In Proc. SPIE 10585, 1058506 (SPIE, 2018); https://doi.org/10.1117/12.2296988

  90. Dixit, D. et al. Optical critical dimension metrology for directed self-assembly assisted contact hole shrink. J. Micro/Nanolith. MEMS MOEMS 15, 014004 (2016).

    Google Scholar 

  91. Diebold, A. C., Antonelli, A. & Keller, N. Perspective: optical measurement of feature dimensions and shapes by scatterometry. APL Mater. 6, 058201 (2018). This paper describes the use of Mueller matrix spectroscopic ellipsometry based scatterometry for vertical gate all around structures, and presents uncertainty and sensitivity analysis for key process parameters.

    Google Scholar 

  92. O’Mullane, S., Keller, N. & Diebold, A. C. Modeling ellipsometric measurement of three-dimensional structures with rigorous coupled wave analysis and finite element method simulations.J. Micro/Nanolith. MEMS MOEMS 15, 044003 (2016).

    Google Scholar 

  93. Krishnan, S. & Wang, D. Y. Infrared spectroscopic reflectometer for measurement of high aspect ratio structures. ​US patent application no. US20180088040A1 (2018).

  94. Chouaib, H., Zhou, Q., Shchegrov, A. V. & Tan, Z. Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity. US patent application no. US20180059019A1 (2018).

  95. Bodermann, B., Ehret, G., Endres, J. & Wurm, M. Optical dimensional metrology at Physikalisch-Technische Bundesanstalt (PTB) on deep sub-wavelength nanostructured surfaces. Surf. Topogr. Metrol. Prop. 4, 024014 (2016).

    Google Scholar 

  96. Williams, D. B. & Carter, C. B. Transmission Electron Microscopy (Springer, New York, 2009).

    Google Scholar 

  97. Erni, R., Rossell, M. D., Kisielowski, C. & Dahmen, U. Atomic-resolution imaging with a sub-50-pm electron probe. Phys. Rev. Lett. 102, 096101 (2009).

    Google Scholar 

  98. Ferrari, A. C. et al. Science and technology roadmap for graphene, related two-dimensional crystals, and hybrid systems. Nanoscale 7, 4598–4810 (2015).

    Google Scholar 

  99. Jinschek, J. R., Yucelen, E., Calderon, H. A. & Freitag, B. Quantitative atomic 3-D imaging of single/double sheet graphene structure. Carbon 49, 556–562 (2011).

    Google Scholar 

  100. Mertens, H. et al. Vertically stacked gate-all-around Si nanowire transistors: key process optimizations and ring oscillator demonstration. In 2017 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2017); https://doi.org/10.1109/IEDM.2017.8268511

  101. Kang, K. et al. Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures. Nature 550, 229–233 (2017).

    Google Scholar 

  102. Orji, N. G. et al. Transmission electron microscope calibration methods for critical dimension standards. J. Micro/Nanolith. MEMS MOEMS 15, 044002 (2016). This paper describes the process of using TEM to evaluate calibration samples, outlines uncertainty components, their probability distribution models, and analysis.

    Google Scholar 

  103. Kenslea, A. et al. CD-TEM: characterizing impact of TEM sample preparation on CD metrology. In 29th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC) (IEEE, 2018); https://doi.org/10.1109/ASMC.2018.8373170

  104. Segal-Peretz, T. et al. Quantitative three-dimensional characterization of block copolymer directed self-assembly on combined chemical and topographical prepatterned templates. ACS Nano 11, 1307–1319 (2017).

    Google Scholar 

  105. Yang, H. et al. Simultaneous atomic-resolution electron ptychography and z-contrast imaging of light and heavy elements in complex nanostructures. Nat. Commun. 7, 12532 (2016).

    Google Scholar 

  106. Jiang, Y. et al. Electron ptychography of 2D materials to deep sub-ångström resolution. Nature 559, 343–349 (2018).

    Google Scholar 

  107. Baek, K. et al. In situ TEM observation on the interface-type resistive switching by electrochemical redox reactions at a tin/PCMO interface. Nanoscale 9, 582–593 (2017).

    Google Scholar 

  108. Béché, A., Goris, B., Freitag, B. & Verbeeck, J. Development of a fast electromagnetic beam blanker for compressed sensing in scanning transmission electron microscopy. Appl. Phys. Lett. 108, 093103 (2016).

    Google Scholar 

  109. Custance, O., Perez, R. & Morita, S. Atomic force microscopy as a tool for atom manipulation. Nat. Nanotech. 4, 803–810 (2009).

    Google Scholar 

  110. Sadewasser, S. & Glatzel, T. in Kelvin Probe Force Microscopy: from Single Charge Detection to Device Characterization (eds Sadewasser, S. & Glatzel, T.) 3–22 (Springer International Publishing, Cham, 2018).

  111. Vandervorst, W. et al. Dopant, composition and carrier profiling for 3D structures. Mater. Sci. Semiconductor Processing 62, 31–48 (2017).

    Google Scholar 

  112. Hussain, D., Ahmad, K., Song, J. & Xie, H. Advances in the atomic force microscopy for critical dimension metrology. Meas. Sci. Technol. 28, 012001 (2017).

    Google Scholar 

  113. Cho, S.-J. et al. Three-dimensional imaging of undercut and sidewall structures by atomic force microscopy. Rev. Sci. Instrum. 82, 023707 (2011).

    Google Scholar 

  114. Ryosuke, K., Ichiko, M., Akiko, H., Kazuto, K. & Satoshi, G. Development of a metrological atomic force microscope with a tip-tilting mechanism for 3D nanometrology. Meas. Sci. Technol. 29, 075005 (2018).

    Google Scholar 

  115. Orji, N. & Dixson, R. in Metrology and Diagnostic Techniques for Nanoelectronics (eds Ma, Z. & Seiler, D. G.) 109–152 (Pan Stanford, New York, 2017).

  116. Orji, N. G. et al. Tip characterization method using multi-feature characterizer for CD-AFM. Ultramicroscopy 162, 25–34 (2016).

    Google Scholar 

  117. Orji, N. G., Martinez, A., Dixson, R. G. & Allgair, J. Progress on implementation of a CD-AFM-based reference measurement system. In Proc. SPIE 6152, 61520O (SPIE, 2006); https://doi.org/10.1117/12.653287

  118. Dixson, R., Ng, B. P., Bonnaud, X. & Orji, N. Interactions of higher order tip effects in critical dimension-AFM linewidth metrology. J. Vacuum Sci. Technol. B 33, 031806 (2015).

    Google Scholar 

  119. Qiangfei, X. et al. Impact of geometry on the performance of memristive nanodevices. Nanotechnology 22, 254026 (2011).

    Google Scholar 

  120. Dai, G., Hahm, K., Bosse, H. & Dixson, R. G. Comparison of line width calibration using critical dimension atomic force microscopes between PTB and NIST. Meas. Sci. Technol. 28, 065010 (2017).

    Google Scholar 

  121. Gaoliang, D., Ludger, K., Jens, F. & Matthias, H. Fast and accurate: high-speed metrological large-range afm for surface and nanometrology. Meas. Sci. Technol. 29, 054012 (2018).

    Google Scholar 

  122. Dixson, R. G., Orji, N. G., McGray, C., Bonevich, J. E. & Geist, J. C. Traceable calibration of a critical dimension atomic force microscope. J. Micro/Nanolith. MEMS MOEMS 11, 011006 (2012).

    Google Scholar 

  123. Orji, N. G., Dixson, R. G., Ng, B. P., Vladár, A. E. & Postek, M. T. Contour metrology using critical dimension atomic force microscopy. J. Micro/Nanolith. MEMS MOEMS 15, 044006 (2016).

    Google Scholar 

  124. Ukraintsev, V. A. et al. Distributed force probe bending model of critical dimension atomic force microscopy bias.J. Micro/Nanolith MEMS MOEMS 12, 023009 (2013).

    Google Scholar 

  125. Choi, J. et al. Evaluation of carbon nanotube probes in critical dimension atomic force microscopes. J. Micro/Nanolith MEMS MOEMS 15, 034005 (2016).

    Google Scholar 

  126. Dixson, R. & Orji, N. G. Comparison and uncertainties of standards for critical dimension atomic force microscope tip width calibration. In Proc. SPIE 6518, 651816 (2007).

  127. Villarrubia, J. S. Algorithms for scanned probe microscope image simulation, surface reconstruction, and tip estimation. J. Res. Natl. Inst. Stand. Technol. 102, 425–454 (1997).

    Google Scholar 

  128. Gujrati, A., Khanal, S. R. & Jacobs, T. D. B. A method for quantitative real-time evaluation of measurement reliability when using atomic force microscopy-based metrology. In 2017 IEEE 17th International Conference on Nanotechnology (IEEE-NANO) (IEEE, 2017).

  129. Liu, J. et al. Tribochemical wear of diamond-like carbon-coated atomic force microscope tips. ACS Appl. Mater. Interf. 9, 35341–35348 (2017).

    Google Scholar 

  130. Qian, X. & Villarrubia, J. S. General three-dimensional image simulation and surface reconstruction in scanning probe microscopy using a dexel representation. Ultramicroscopy 108, 29–42 (2007).

    Google Scholar 

  131. Flater, E. E., Zacharakis-Jutz, G. E., Dumba, B. G. & White, I. A. & Clifford, C. A. Towards easy and reliable AFM tip shape determination using blind tip reconstruction. Ultramicroscopy 146, 130–143 (2014).

    Google Scholar 

  132. Edwards, D. T. & Perkins, T. T. Optimizing force spectroscopy by modifying commercial cantilevers: improved stability, precision, and temporal resolution. J. Struct. Biol. 197, 13–25 (2017).

    Google Scholar 

  133. Ziegler, D., Meyer, T. R., Amrein, A., Bertozzi, A. L. & Ashby, P. D. Ideal scan path for high-speed atomic force microscopy. IEEE/ASME Trans. Mechatron. 22, 381–391 (2017).

    Google Scholar 

  134. Ulčinas, A. & Vaitekonis, Š. Rotational scanning atomic force microscopy. Nanotechnology 28, 10LT02 (2017).

    Google Scholar 

  135. Bazaei, A., Yong, Y. K. & Moheimani, S. O. R. Combining spiral scanning and internal model control for sequential AFM imaging at video rate. IEEE/ASME Trans. Mechatron. 22, 371–380 (2017).

    Google Scholar 

  136. Tomas, T., John, L., Kartik, V., Abu, S. & Angeliki, P. High-speed multiresolution scanning probe microscopy based on Lissajous scan trajectories. Nanotechnology 23, 185501 (2012).

    Google Scholar 

  137. Ando, T. High-speed atomic force microscopy and its future prospects. Biophys. Rev. 10, 285–292 (2018).

    Google Scholar 

  138. Zhang, N. F., Silver, R. M., Zhou, H. & Barnes, B. M. Improving optical measurement uncertainty with combined multitool metrology using a Bayesian approach. Appl. Opt. 51, 6196 (2012).

    Google Scholar 

  139. Henn, M. A. et al. Optimizing hybrid metrology: rigorous implementation of Bayesian and combined regression. J. Micro/Nanolith. MEMS MOEMS 14, 044001 (2015).

    Google Scholar 

  140. Zhang, N. F., Barnes, B. M., Zhou, H., Henn, M.-A. & Silver, R. M. Combining model-based measurement results of critical dimensions from multiple tools. Meas. Sci. Technol. 28, 065002 (2017).

    Google Scholar 

  141. Masafumi, A. et al. Metrology and inspection required for next generation lithography. Jpn. J. Appl. Phys. 56, 06GA01 (2017).

    Google Scholar 

  142. Celano, U. et al. Individual device analysis using hybrid TEM-scalpel SSRM metrology. In Frontiers of Characterization and Metrology for Nanoelectronics (eds Secula, E. M. & Seiler, D. G.) (NIST, Gaithersburg, 2017).

  143. Grenier, A. et al. 3D analysis of advanced nano-devices using electron and atom probe tomography. Ultramicroscopy 136, 185–192 (2014).

    Google Scholar 

  144. Breton, M. et al. Electrical test prediction using hybrid metrology and machine learning. In ​Proc. SPIE 10145, 1014504 (SPIE, 2017); https://doi.org/10.1117/12.2261091

  145. Smith, S. et al. Comparison of measurement techniques for linewidth metrology on advanced photomasks. IEEE Trans. Semicond. Manuf. 22, 72–79 (2009).

    Google Scholar 

  146. Orji, N. G., Dixson, R. G., Cordes, A. M., Bunday, B. D. & Allgair, J. A., Measurement traceability and quality assurance in a nanomanufacturing environment. J. Micro/Nanolith. MEMS MOEMS 10, 013006 (2011).

    Google Scholar 

  147. Dixson, R. et al. Multilaboratory comparison of traceable atomic force microscope measurements of a 70-nm grating pitch standard. J Micro/Nanolith. MEMS MOEMS 10, 013015 (2011).

    Google Scholar 

  148. McWaid, T. H., Vorburger, T. V., Fu, J., Song, J. F. & Whitenton, E. Methods divergence between measurements of micrometer and sub-micrometer surface features. Nanotechnology 5, 33 (1994).

    Google Scholar 

  149. Dixson, R., Orji, N., Misumi, I. & Dai, G. Spatial dimensions in atomic force microscopy: instruments, effects, and measurements. Ultramicroscopy 194, 199–214 (2018).

    Google Scholar 

  150. Gaoliang, D. et al. Measurements of CD and sidewall profile of EUV photomask structures using CD-AFM and tilting-AFM. Meas. Sci. Technol. 25, 044002 (2014).

    Google Scholar 

  151. Sze, V., Chen, Y. H., Yang, T. J. & Emer, J. S. Efficient processing of deep neural networks: a tutorial and survey. Proc. IEEE 105, 2295–2329 (2017).

    Google Scholar 

  152. Beitia, C. Challenge in nanotopography measurement at die level. In Frontiers of Characterization and Metrology for Nanoelectronics (eds Secula, E. M. & Seiler, D. G.) (NIST, Gaithersburg, 2017).

  153. Raccuglia, P. et al. Machine-learning-assisted materials discovery using failed experiments. Nature 533, 73–76 (2016).

    Google Scholar 

  154. Modarres, M. H. et al. Neural network for nanoscience scanning electron microscope image recognition. Sci. Rep. 7, 13282 (2017).

    Google Scholar 

  155. Rana, N., Zhang, Y., Kagalwala, T. & Bailey, T. Leveraging advanced data analytics, machine learning, and metrology models to enable critical dimension metrology solutions for advanced integrated circuit nodes. J. Micro/Nanolithogr. MEMS MOEMS 13, 041415 (2014). The paper describes the use of machine learning to optimize a hybrid metrology setup.

    Google Scholar 

  156. Foucher, J., Baderot, J., Martinez, S., Dervilllé, A. & Bernard, G. Cognitive learning: a machine learning approach for automatic process characterization from design. In Proc. SPIE 10585, 105852R (SPIE, 2018); https://doi.org/10.1117/12.2297348

  157. Schmitt-Weaver, E. et al. Computational overlay metrology with adaptive data analytics. In Proc. SPIE 10145, 101450V (SPIE, 2017); https://doi.org/10.1117/12.2258039

  158. Lee, H.-G. et al. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning. In Proc. SPIE 9424, 94241T (SPIE, 2015); https://doi.org/10.1117/12.2085475

  159. Rashidi, M. & Wolkow, R. A. Autonomous scanning probe microscopy in situ tip conditioning through machine learning. ACS Nano 12, 5185–5189 (2018).

    Google Scholar 

  160. Cain, J. P. et al. Applying machine learning to pattern analysis for automated in-design layout optimization. In ​ Proc. SPIE 10588, 1058805 (SPIE, 2018); https://doi.org/10.1117/12.2299492

  161. Moyne, J., Samantaray, J. & Armacost, M. Big data capabilities applied to semiconductor manufacturing advanced process control. IEEE Trans. Semicond. Manuf. 29, 283–291 (2016).

    Google Scholar 

  162. Orji, N. G., Obeng, Y. S., Beitia, C., Mashiro, S. & Moyne, J. Virtual Metrology White Paper — International Roadmap for Devices and Systems (IRDS) 2017 edn (IEEE, Piscataway, 2018); https://irds.ieee.org/images/files/pdf/2017/2017IRDS_MET_VM_WP.pdf

  163. SEMI E133–1014 —SEMI Standard Specification for Automated Process Control Systems Interface (Semiconductor Equipment and Materials International, Milpitas, 2014).

  164. Kalinin, S. V. et al. Big, deep, and smart data in scanning probe microscopy. ACS Nano 10, 9068–9086 (2016).

    Google Scholar 

  165. Vedantam, S. et al. A plasmonic dimple lens for nanoscale focusing of light. Nano Lett. 9, 3447–3452 (2009).

    Google Scholar 

  166. Neice, A. Methods and limitations of subwavelength imaging. Adv. Imag. Electron Phys. 163, 117–140 (2010).

    Google Scholar 

  167. Legant, W. R. et al. High-density three-dimensional localization microscopy across large volumes. Nat. Methods 13, 359–365 (2016).

    Google Scholar 

  168. Attota, R. K., Weck, P., Kramar, J. A., Bunday, B. & Vartanian, V. Feasibility study on 3-D shape analysis of high-aspect-ratio features using through-focus scanning optical microscopy. Opt. Exp. 24, 16574–16585 (2016).

    Google Scholar 

  169. The National Technology Roadmap for Semiconductors (NTRS) (Semiconductor Industry Association, San Jose, 1994).

  170. Salahuddin, S., Ni, K. & Datta, S. The era of hyper-scaling in electronics. Nat. Electron. 1, 442–450 (2018).

    Google Scholar 

  171. Li, C. et al. Efficient and self-adaptive in-situ learning in multilayer memristor neural networks. Nat. Commun. 9, 2385 (2018).

    Google Scholar 

  172. Liu, C., Hu, M., Strachan, J. P. & Li, H. Rescuing memristor-based neuromorphic design with high defects. In 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC) (IEEE, 2017); https://doi.org/10.1145/3061639.3062310

Download references

Acknowledgements

We thank W. Thompson, T. Vorburger and R. Silver for discussions and comments. We thank M.-A. Henn for assistance with Fig. 4d.

Author information

Authors and Affiliations

Authors

Contributions

All authors contributed to project planning, discussions and manuscript writing at all stages.

Corresponding author

Correspondence to N. G. Orji.

Ethics declarations

Competing interests

The authors declare no competing interests

Additional information

Publisher’s note: Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Orji, N.G., Badaroglu, M., Barnes, B.M. et al. Metrology for the next generation of semiconductor devices. Nat Electron 1, 532–547 (2018). https://doi.org/10.1038/s41928-018-0150-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s41928-018-0150-9

This article is cited by

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing