Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Article
  • Published:

Ultrathin ferroic HfO2–ZrO2 superlattice gate stack for advanced transistors

Abstract

With the scaling of lateral dimensions in advanced transistors, an increased gate capacitance is desirable both to retain the control of the gate electrode over the channel and to reduce the operating voltage1. This led to a fundamental change in the gate stack in 2008, the incorporation of high-dielectric-constant HfO2 (ref. 2), which remains the material of choice to date. Here we report HfO2–ZrO2 superlattice heterostructures as a gate stack, stabilized with mixed ferroelectric–antiferroelectric order, directly integrated onto Si transistors, and scaled down to approximately 20 ångströms, the same gate oxide thickness required for high-performance transistors. The overall equivalent oxide thickness in metal–oxide–semiconductor capacitors is equivalent to an effective SiO2 thickness of approximately 6.5 ångströms. Such a low effective oxide thickness and the resulting large capacitance cannot be achieved in conventional HfO2-based high-dielectric-constant gate stacks without scavenging the interfacial SiO2, which has adverse effects on the electron transport and gate leakage current3. Accordingly, our gate stacks, which do not require such scavenging, provide substantially lower leakage current and no mobility degradation. This work demonstrates that ultrathin ferroic HfO2–ZrO2 multilayers, stabilized with competing ferroelectric–antiferroelectric order in the two-nanometre-thickness regime, provide a path towards advanced gate oxide stacks in electronic devices beyond conventional HfO2-based high-dielectric-constant materials.

This is a preview of subscription content, access via your institution

Access options

Rent or buy this article

Prices vary by article type

from$1.95

to$39.95

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: Atomic-scale design of negative capacitance in ultrathin HfO2–ZrO2.
Fig. 2: Enhanced capacitance in ultrathin HfO2–ZrO2 mixed-ferroic heterostructures.
Fig. 3: Device performance benefits from using ultrathin mixed-ferroic HfO2–ZrO2 gate stacks.

Similar content being viewed by others

Data availability

The experimental data contained in the manuscript are available for download at https://doi.org/10.5281/zenodo.5797030.

References

  1. Theis, T. N. & Wong, H.-S. P. The end of Moore’s law: a new beginning for information technology. Comput. Sci. Eng. 19, 41–50 (2017).

    Article  Google Scholar 

  2. Schlom, D. G., Guha, S. & Datta, S. Gate oxides beyond SiO2. MRS Bull. 33, 1017–1025 (2008).

    Article  CAS  Google Scholar 

  3. Ando, T. Ultimate scaling of high-κ gate dielectrics: higher-κ or interfacial layer scavenging? Materials 5, 478–500 (2012).

    Article  ADS  CAS  PubMed  PubMed Central  Google Scholar 

  4. Salahuddin, S., Ni, K. & Datta, S. The era of hyper-scaling in electronics. Nat. Electron. 1, 442–450 (2018).

    Article  Google Scholar 

  5. Shulaker, M. M. et al. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 547, 74–78 (2017).

    Article  ADS  CAS  PubMed  Google Scholar 

  6. Wong, H.-S. & Salahuddin, S. Memory leads the way to better computing. Nat. Nanotechnol. 10, 191–194 (2015).

    Article  ADS  CAS  PubMed  Google Scholar 

  7. Del Alamo, J. A. Nanometre-scale electronics with III–V compound semiconductors. Nature 479, 317–323 (2011).

    Article  ADS  PubMed  Google Scholar 

  8. Butler, S. Z. et al. Progress, challenges, and opportunities in two-dimensional materials beyond graphene. ACS Nano 7, 2898–2926 (2013).

    Article  CAS  PubMed  Google Scholar 

  9. Khan, A. I., Keshavarzi, A. & Datta, S. The future of ferroelectric field-effect transistor technology. Nat. Electron. 3, 588–597 (2020).

    Article  Google Scholar 

  10. Dutta, S. et al. Monolithic 3D integration of high endurance multi-bit ferroelectric FET for accelerating compute-in-memory. In 2020 IEEE International Electron Devices Meeting (IEDM) 36.4.1–36.4.4 (IEEE, 2020).

  11. Salahuddin, S. & Datta, S. Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett. 8, 405–410 (2008).

    Article  ADS  CAS  PubMed  Google Scholar 

  12. Böscke, T. S., Müller, J., Bräuhaus, D., Schröder, U. & Böttger, U. Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 99, 102903 (2011).

    Article  ADS  Google Scholar 

  13. Cheema, S. S. et al. Enhanced ferroelectricity in ultrathin films grown directly on silicon. Nature 580, 478–482 (2020).

    Article  ADS  CAS  PubMed  Google Scholar 

  14. Lee, H.-J. et al. Scale-free ferroelectricity induced by flat phonon bands in HfO2. Science 369, 1343–1347 (2020).

    Article  ADS  CAS  PubMed  Google Scholar 

  15. Noheda, B. & Iniguez, J. A key piece of the ferroelectric hafnia puzzle. Science 369, 1300–1301 (2020).

    Article  ADS  CAS  PubMed  Google Scholar 

  16. Ando, T. et al. Understanding mobility mechanisms in extremely scaled HfO2 (EOT 0.42 nm) using remote interfacial layer scavenging technique and Vt-tuning dipoles with gatefirst process. In 2009 IEEE International Electron Devices Meeting (IEDM) 17.1 (IEEE, 2009).

  17. Wong, H. & Iwai, H. On the scaling of subnanometer EOT gate dielectrics for ultimate nano CMOS technology. Microelectron. Eng. 138, 57–76 (2015).

    Article  CAS  Google Scholar 

  18. Narasimha, S. et al. 22 nm high-performance SOI technology featuring dual-embedded stressors, Epi-Plate High-K deep-trench embedded DRAM and self-aligned via 15LM BEOL. In 2012 International Electron Devices Meeting 3.3.1–3.3.4 (IEEE, 2012).

  19. Huang, J. Gate first high-k/metal gate stacks with zero SiOx interface achieving EOT=0.59 nm for 16 nm application. In 2009 Symposium on VLSI Technology 34–35 (IEEE, 2009).

  20. Yeo, Y.-C., King, T.-J. & Hu, C. Direct tunneling leakage current and scalability of alternative gate dielectrics. Appl. Phys. Lett. 81, 2091–2093 (2002).

    Article  ADS  CAS  Google Scholar 

  21. Kittel, C. Theory of antiferroelectric crystals. Phys. Rev. 82, 729–732 (1951).

    Article  ADS  CAS  MATH  Google Scholar 

  22. Materlik, R., Künneth, C. & Kersch, A. The origin of ferroelectricity in Hf1−xZrxO2: a computational investigation and a surface energy model. J. Appl. Phys. 117, 134109 (2015).

    Article  ADS  Google Scholar 

  23. Reyes-Lillo, S. E., Garrity, K. F. & Rabe, K. M. Antiferroelectricity in thin-film ZrO2 from first principles. Phys. Rev. B 90, 140103 (2014).

    Article  ADS  Google Scholar 

  24. Qi, Y. & Rabe, K. M. Phase competition in HfO2 with applied electric field from first principles. Phys. Rev. B 102, 214108 (2020).

    Article  ADS  CAS  Google Scholar 

  25. Lomenzo, P. D., Richter, C., Mikolajick, T. & Schroeder, U. Depolarization as driving force in antiferroelectric hafnia and ferroelectric wake-up. ACS Appl. Electron. Mater. 2, 1583–1595 (2020).

    Article  CAS  Google Scholar 

  26. Hoffmann, M. et al. Unveiling the double-well energy landscape in a ferroelectric layer. Nature 565, 464–467 (2019).

    Article  ADS  CAS  PubMed  Google Scholar 

  27. Íñiguez, J., Zubko, P., Luk’yanchuk, I. & Cano, A. Ferroelectric negative capacitance. Nat. Rev. Mater. 4, 243–256 (2019).

    Article  ADS  Google Scholar 

  28. Li, F., Zhang, S., Damjanovic, D., Chen, L.-Q. & Shrout, T. R. Local structural heterogeneity and electromechanical responses of ferroelectrics: learning from relaxor ferroelectrics. Adv. Funct. Mater. 28, 1801504 (2018).

    Article  Google Scholar 

  29. Khan, A. et al. Experimental evidence of ferroelectric negative capacitance in nanoscale heterostructures. Appl. Phys. Lett. 99, 113501 (2011).

    Article  ADS  Google Scholar 

  30. Yadav, A. K. et al. Spatially resolved steady-state negative capacitance. Nature 565, 468–471 (2019).

    Article  ADS  CAS  PubMed  Google Scholar 

  31. Das, S. et al. Local negative permittivity and topological phase transition in polar skyrmions. Nat. Mater. 20, 194–201 (2021).

    Article  ADS  CAS  PubMed  Google Scholar 

  32. Müller, J. et al. Ferroelectricity in simple binary ZrO2 and HfO2. Nano Lett. 12, 4318–4323 (2012).

    Article  ADS  PubMed  Google Scholar 

  33. Lakes, R. S., Lee, T., Bersie, A. & Wang, Y. C. Extreme damping in composite materials with negative-stiffness inclusions. Nature 410, 565–567 (2001).

    Article  ADS  CAS  PubMed  Google Scholar 

  34. Jaglinski, T., Kochmann, D., Stone, D. & Lakes, R. S. Composite materials with viscoelastic stiffness greater than diamond. Science 315, 620–622 (2007).

    Article  ADS  CAS  PubMed  Google Scholar 

  35. Ni, K. et al. Equivalent oxide thickness (EOT) scaling with hafnium zirconium oxide high-κ dielectric near morphotropic phase boundary. In 2019 IEEE International Electron Devices Meeting (IEDM) 7.4.1–7.4.4 (IEEE, 2019).

  36. Budimir, M., Damjanovic, D. & Setter, N. Piezoelectric response and free-energy instability in the perovskite crystals BaTiO3, PbTiO3 and Pb(Zr, Ti)O3. Phys. Rev. B 73, 174106 (2006).

    Article  ADS  Google Scholar 

  37. Noheda, B. et al. A monoclinic ferroelectric phase in the Pb(Zr1−xTix)O3 solid solution. Appl. Phys. Lett. 74, 2059–2061 (1999).

    Article  ADS  CAS  Google Scholar 

  38. Schroeder, U. et al. Recent progress for obtaining the ferroelectric phase in hafnium oxide based films: impact of oxygen and zirconium. Jpn. J. Appl. Phys. 58, SL0801 (2019).

    Article  CAS  Google Scholar 

  39. Schlom, D. G. & Haeni, J. H. A thermodynamic approach to selecting alternative gate dielectrics. MRS Bull. 27, 198–204 (2002).

    Article  CAS  Google Scholar 

  40. Bersuker, G. et al. The effect of interfacial layer properties on the performance of Hf-based gate stack devices. J. Appl. Phys. 100, 094108 (2006).

    Article  ADS  Google Scholar 

  41. Liao, Y.-H. et al. Electric field-induced permittivity enhancement in negative-capacitance FET. IEEE Trans. Electron Devices 68, 1346–1351 (2021).

    Article  ADS  CAS  Google Scholar 

  42. Ragnarsson, L.-Å. et al. Ultrathin EOT high-κ/metal gate devices for future technologies: challenges, achievements and perspectives. Microelectron. Eng. 88, 1317–1322 (2011).

    Article  CAS  Google Scholar 

  43. Chatterjee, K., Rosner, A. J. & Salahuddin, S. Intrinsic speed limit of negative capacitance transistors. IEEE Electron Device Lett. 38, 1328–1330 (2017).

    Article  ADS  CAS  Google Scholar 

  44. Kwon, D. et al. Response speed of negative capacitance FinFETs. In 2018 IEEE Symposium on VLSI Technology 49–50 (IEEE, 2018).

  45. Pae, S. et al. Reliability characterization of 32 nm high-K and metal-gate logic transistor technology. In 2010 IEEE International Reliability Physics Symposium 287–292 (IEEE, 2010).

  46. Mukhopadhyay, S. et al. Trap generation in IL and HK layers during BTI/TDDB stress in scaled HKMG N and P MOSFETs and implications on tinv-scaling. In 2014 IEEE International Reliability Physics Symposium GD.3.1–GD.3.11 (IEEE, 2014).

  47. Gao, W. et al. Room-temperature negative capacitance in a ferroelectric–dielectric superlattice heterostructure. Nano Lett. 14, 5814–5819 (2014).

    Article  ADS  CAS  PubMed  Google Scholar 

  48. Zubko, P. et al. Negative capacitance in multidomain ferroelectric superlattices. Nature 534, 524–528 (2016).

    Article  ADS  CAS  PubMed  Google Scholar 

  49. Wong, J. C. & Salahuddin, S. Negative capacitance transistors. Proc. IEEE 107, 49–62 (2019).

    Article  CAS  Google Scholar 

  50. Hsain, H. A., Lee, Y., Parsons, G. & Jones, J. L. Compositional dependence of crystallization temperatures and phase evolution in hafnia-zirconia (HfxZr1−x)O2 thin films. Appl. Phys. Lett. 116, 192901 (2020).

    Article  ADS  CAS  Google Scholar 

  51. Lin, B.-T., Lu, Y.-W., Shieh, J. & Chen, M.-J. Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing. J. Eur. Ceram. Soc. 37, 1135–1139 (2017).

    Article  CAS  Google Scholar 

  52. Björck, M. & Andersson, G. GenX: an extensible X-ray reflectivity refinement program utilizing differential evolution. J. Appl. Crystallogr. 40, 1174–1178 (2007).

    Article  Google Scholar 

  53. Ilavsky, J. Nika: software for two-dimensional data reduction. J. Appl. Crystallogr. 45, 324–328 (2012).

    Article  CAS  Google Scholar 

  54. Park, M. H., Shimizu, T., Funakubo, H. & Schroeder, U. in Ferroelectricity in Doped Hafnium Oxide: Materials, Properties and Devices (eds Schroeder, U. et al.) 193–216 (Woodhead, 2019).

  55. Mehmood, F., Mikolajick, T. & Schroeder, U. Lanthanum doping induced structural changes and their implications on ferroelectric properties of Hf1−xZrxO2 thin film. Appl. Phys. Lett. 117, 092902 (2020).

    Article  ADS  CAS  Google Scholar 

  56. Mukundan, V. et al. Quantifying non-centrosymmetric orthorhombic phase fraction in 10 nm ferroelectric Hf0.5Zr0.5O2 films. Appl. Phys. Lett. 117, 262905 (2020).

    Article  ADS  CAS  Google Scholar 

  57. Park, M. H. et al. Ferroelectricity and antiferroelectricity of doped thin HfO2-based films. Adv. Mater. 27, 1811–1831 (2015).

    Article  CAS  PubMed  Google Scholar 

  58. Lyu, J., Fina, I., Solanas, R., Fontcuberta, J. & Sánchez, F. Growth window of ferroelectric epitaxial Hf0.5Zr0.5O2 thin films. ACS Appl. Electron. Mater. 1, 220–228 (2019).

    Article  CAS  Google Scholar 

  59. Young, A. T. et al. Variable linear polarization from an X-ray undulator. J. Synchrotron Radiat. 9, 270–274 (2002).

    Article  CAS  PubMed  Google Scholar 

  60. Jain, A. et al. The Materials Project: a materials genome approach to accelerating materials innovation. APL Mater. 1, 011002 (2013).

    Article  ADS  Google Scholar 

  61. Mathew, K. et al. High-throughput computational X-ray absorption spectroscopy. Sci. Data 5, 180151 (2018).

    Article  CAS  PubMed  PubMed Central  Google Scholar 

  62. Cho, D.-Y., Jung, H.-S. & Hwang, C. S. Structural properties and electronic structure of HfO2–ZrO2 composite films. Phys. Rev. B 82, 094104 (2010).

    Article  ADS  Google Scholar 

  63. Park, M. H. & Hwang, C. S. Fluorite-structure antiferroelectrics. Rep. Prog. Phys. 82, 124502 (2019).

    Article  ADS  CAS  PubMed  Google Scholar 

  64. Yang, K. & Hu, C. MOS capacitance measurements for high-leakage thin dielectrics. IEEE Trans. Electron Devices 46, 1500–1501 (1999).

    Article  ADS  CAS  Google Scholar 

  65. Changhwan C. et al. Fabrication of TaN-gated ultra-thin MOSFETS (EOT <1.0 nm) with HfO2 using a novel oxygen scavenging process for sub 65 nm application. In 2005 Symposium on VLSI Technology 226–227 (IEEE, 2005).

  66. Takahashi, M. et al. Gate-first processed FUSI/HfO2/HfSiOx/Si MOSFETs with EOT=0.5 nm: interfacial layer formation by cycle-by-cycle deposition and annealing. In 2007 IEEE International Electron Devices Meeting (IEDM) 523–526 (IEEE, 2007).

  67. Mahapatra, S. (ed.) Fundamentals of Bias Temperature Instability in MOS Transistors (Springer, 2016).

  68. Kim, Y. J. et al. Time-dependent negative capacitance effects in Al2O3/BaTiO3 bilayers. Nano Lett. 16, 4375–4381 (2016).

    Article  ADS  CAS  PubMed  Google Scholar 

  69. Hoffmann, M. et al. Demonstration of high-speed hysteresis-free negative capacitance in ferroelectric Hf0.5Zr0.5O2. In 2018 IEEE International Electron Devices Meeting (IEDM) 31.6.1–31.6.4 (IEEE, 2018).

  70. Kim, K. D. et al. Transient negative capacitance effect in atomic-layer-deposited Al2O3/Hf0.3Zr0.7O2 bilayer thin film. Adv. Funct. Mater. 29, 1808228 (2019).

    Article  Google Scholar 

  71. Chen, L. Q. Phase-field method of phase transitions/domain structures in ferroelectric thin films: a review. J. Am. Ceram. Soc. 91, 1835–1844 (2008).

    Article  CAS  Google Scholar 

  72. Lomenzo, P. D. et al. A Gibbs energy view of double hysteresis in ZrO2 and Si-doped HfO2. Appl. Phys. Lett. 117, 142904 (2020).

    Article  ADS  CAS  Google Scholar 

  73. Synopsys Sentaurus Device User Guide: Version O-2018.06 (Synopsys, 2018).

  74. Park, J. Y. et al. A perspective on semiconductor devices based on fluorite-structured ferroelectrics from the materials–device integration perspective. J. Appl. Phys. 128, 240904 (2020).

    Article  ADS  CAS  Google Scholar 

  75. Hoffmann, M., Slesazeck, S., Schroeder, U. & Mikolajick, T. What’s next for negative capacitance electronics? Nat. Electron. 3, 504–506 (2020).

    Article  Google Scholar 

  76. Hoffmann, M., Slesazeck, S. & Mikolajick, T. Progress and future prospects of negative capacitance electronics: a materials perspective. APL Mater. 9, 020902 (2021).

    Article  ADS  CAS  Google Scholar 

  77. Mikolajick, T. et al. Next generation ferroelectric materials for semiconductor process integration and their applications. J. Appl. Phys. 129, 100901 (2021).

    Article  ADS  CAS  Google Scholar 

  78. Li, Y.-L. et al. Electrical and reliability characteristics of FinFETs with high-k gate stack and plasma treatments. IEEE Trans. Electron Devices 68, 4–9 (2021).

    Article  ADS  CAS  Google Scholar 

  79. Padmanabhan, R., Mohan, S., Morozumi, Y., Kaushal, S. & Bhat, N. Performance and reliability of TiO2/ZrO2/TiO2 (TZT) and AlO-doped TZT MIM capacitors. IEEE Trans. Electron Devices 63, 3928–3935 (2016).

    Article  ADS  CAS  Google Scholar 

  80. Shin, Y. et al. Crystallized HfLaO embedded tetragonal ZrO2 for dynamic random access memory capacitor dielectrics. Appl. Phys. Lett. 98, 173505 (2011).

    Article  ADS  Google Scholar 

  81. Mise, N. et al. Scalability of TiN/HfAlO/TiN MIM DRAM capacitor to 0.7-nm-EOT and beyond. In 2009 IEEE International Electron Devices Meeting (IEDM) 11.3.1–11.3.4 (IEEE, 2009).

  82. Kil, D.-S. et al. Development of new TiN/ZrO2/Al2O3/ZrO2/TiN capacitors extendable to 45nm generation DRAMs replacing HfO2 based dielectrics. In 2006 Symposium on VLSI Technology 38–39 (IEEE, 2006).

  83. Kim, S. K. & Popovici, M. Future of dynamic random-access memory as main memory. MRS Bull. 43, 334–339 (2018).

    Article  ADS  Google Scholar 

  84. Park, M. H. et al. A comprehensive study on the mechanism of ferroelectric phase formation in hafnia-zirconia nanolaminates and superlattices. Appl. Phys. Rev. 6, 041403 (2019).

    Article  ADS  Google Scholar 

  85. Weeks, S. L., Pal, A., Narasimhan, V. K., Littau, K. A. & Chiang, T. Engineering of ferroelectric HfO2–ZrO2 nanolaminates. ACS Appl. Mater. Interfaces 9, 13440–13447 (2017).

    Article  CAS  PubMed  Google Scholar 

  86. Riedel, S., Polakowski, P. & Müller, J. A thermally robust and thickness independent ferroelectric phase in laminated hafnium zirconium oxide. AIP Adv. 6, 095123 (2016).

    Article  ADS  Google Scholar 

  87. Osada, M. & Sasaki, T. The rise of 2D dielectrics/ferroelectrics. APL Mater. 7, 120902 (2019).

    Article  ADS  Google Scholar 

  88. IRDS. Executive summary. In The International Roadmap for Devices and Systems: 2020 (IEEE, 2020); http://irds.ieee.org.

  89. Park, H. W., Roh, J., Lee, Y. B. & Hwang, C. S. Modeling of negative capacitance in ferroelectric thin Ffilms. Adv. Mater. 31, 1805266 (2019).

    Article  Google Scholar 

  90. Park, M. H. et al. Morphotropic phase boundary of Hf1−xZrxO2 thin films for dynamic random access memories. ACS Appl. Mater. Interfaces 10, 42666–42673 (2018).

    Article  CAS  PubMed  Google Scholar 

  91. Das, D. & Jeon, S. High-κ HfxZr1−xO2 ferroelectric insulator by utilizing high pressure anneal. IEEE Trans. Electron Devices 67, 2489–2494 (2020).

    Article  ADS  CAS  Google Scholar 

  92. Kim, S. et al. Method to achieve the morphotropic phase boundary in HfxZr1−xO2 by electric field cycling for DRAM cell capacitor applications. IEEE Electron Device Lett. 42, 517–520 (2021).

    Article  ADS  CAS  Google Scholar 

  93. Kashir, A. & Hwang, H. Ferroelectric and dielectric properties of Hf0.5Zr0.5O2 thin film near morphotropic phase boundary. Phys. Status Solidi A 218, 2000819 (2021).

    Article  ADS  CAS  Google Scholar 

  94. Appleby, D. J. R. et al. Experimental observation of negative capacitance in ferroelectrics at room temperature. Nano Lett. 14, 3864–3868 (2014).

    Article  ADS  CAS  PubMed  Google Scholar 

Download references

Acknowledgements

This research was supported in part by the Berkeley Center for Negative Capacitance Transistors (BCNCT), the DARPA Technologies for Mixed-mode Ultra Scaled Integrated Circuits (T-MUSIC) programme, the University of California Multicampus Research Programs and Initiatives (UC MRPI) project and the US Department of Energy, Office of Science, Office of Basic Energy Sciences, Materials Sciences and Engineering Division under contract no. DE-AC02-05-CH11231 (Microelectronics Co-Design programme) for the development of materials for low-power microelectronics. This research used resources of the Advanced Photon Source, a US Department of Energy (DOE) Office of Science User Facility at Argonne National Laboratory and is based on research supported by the US DOE Office of Science, Basic Energy Sciences, under contract no. DE-AC02-06CH11357. V.A.S. and J.W.F. were supported by the US Department of Energy, Office of Science, Basic Energy Sciences, under award number DE-SC-0012375. Use of the Stanford Synchrotron Radiation Light source, SLAC National Accelerator Laboratory, is supported by the US Department of Energy, Office of Science, Office of Basic Energy Sciences under contract no. DE-AC02-76SF00515. This research used resources of the Advanced Light Source, which is a DOE Office of Science User Facility under contract no. DE-AC02-05CH11231. Electron microscopy was performed at the Molecular Foundry, LBNL, supported by the Office of Science, Office of Basic Energy Sciences, US Department of Energy (DE-AC02-05CH11231). Device fabrication was performed at the Marvell Nanofabrication Laboratory at UC Berkeley. This material is based upon work supported by the Secretary of Defense for Research and Engineering under Air Force contract no. FA8702-15-D-0001. Any opinions, findings, conclusions or recommendations expressed in this material are those of the author(s) and do not necessarily reflect the views of the Secretary of Defense for Research and Engineering.

Author information

Authors and Affiliations

Authors

Contributions

S.S.C. and S.S. designed the research. S.S.C. performed design, synthesis, and optimization of the superlattice oxide heterostructure and its ferroic characterization. N.S. and C.-H.H. performed capacitor fabrication. C.-H.H. helped optimize annealing treatments. N.S. and S.S.C. performed capacitor measurements and analysis. L.-C.W. fabricated the transistors and performed d.c. characterization. D.W.K. and J.B. developed the initial processes for transistor fabrication. Y.-H.L. performed simulations including EOT estimation, series resistance determination and mobility and transconductance analysis. M.S.J., J.G. and W.L. contributed to radio-frequency electrical measurements and analysis. N.S. performed MOSCAP stress measurements. W.C. and N.S. performed MOSFET reliability measurements under the guidance of S.D., S.M. and S.S. M.M., R.R., C.S., D.P., G.P., M.C. and B.T. contributed to capacitor fabrication and characterization at MIT LL. S.-L.H. performed TEM. S.S.C. and S.-L.H. performed TEM analysis. Y.R. performed second-harmonic generation. S.K.V. performed X-ray photoelectron spectroscopy. S.S.C. and C.-H.H. performed synchrotron soft X-ray spectroscopy at ALS. S.S.C., V.A.S. and J.W.F. performed synchrotron X-ray spectroscopy at APS. S.S.C., C.-H.H., V.A.S. and Z.Z. performed synchrotron in-plane diffraction at APS. S.S.C. and N.S. performed synchrotron X-ray reflectivity and 2D diffraction at SSRL. S.S.C. and S.S. co-wrote the original manuscript; S.S.C., N.S. and S.S. revised the manuscript. S.S. supervised the research. All authors contributed to discussions and manuscript preparations.

Corresponding authors

Correspondence to Suraj S. Cheema or Sayeef Salahuddin.

Ethics declarations

Competing interests

The authors declare no competing interests.

Peer review

Peer review information

Nature thanks Takashi Ando, Thomas Mikolajick and Burc Misirlioglu for their contribution to the peer review of this work.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Extended data figures and tables

Extended Data Fig. 1 Atomic-scale multilayer structure.

a, Schematic of the HfO2–ZrO2 multilayer structure on SiO2-buffered Si. b, Synchrotron X-ray reflectivity (XRR) of thicker HZH heterostructures (left) repeated with the same periodicity as the thinner trilayer structure; XRR fitting (right) demonstrates the presence of well separated HfO2–ZrO2 layers, that is, not a solid solution, for three different multilayer repeats of fixed periodicity, all approximately following the expected 4 Å–12 Å–4 Å HZH structure. c, Layer-resolved electron energy loss spectroscopy (EELS) of the 2-nm HZH trilayer, demonstrating clear separation of HfO2 and ZrO2 layers. The exact layer thicknesses are extracted from XRR, which spans a wider sample footprint, rather than the local EELS measurement in which the apparent width increase can be due to beam spreading and local thickness variation. d, Angle-resolved X-ray photoelectric spectroscopy (XPS) of the 2-nm HZH trilayer (left) and the extracted atomic composition (right). The presence of increasing Zr content as the grazing angle increases is expected from the multilayer structure in which Zr content increases after the surface Hf-rich layer. Therefore XRR, EELS and XPS data all indicate the presence of a multilayer structure in which the HfO2 layer is directly on the SiO2 layer.

Extended Data Fig. 2 Ferroic phase insights from structural characterization.

a, Left, in-plane synchrotron grazing-incidence diffraction (IP-GiD) of a bare 2-nm HZH trilayer indexed to the tetragonal P42/nmc and orthorhombic Pca21 phases. Right, magnification of the spectrum about the orthorhombic (111)o and tetragonal (101)t reflections, confirming the co-existing structural polymorphs in the 2-nm film. These two peaks were differentiated via self-consistent indexing of the entire spectrum, in which interplanar lattice spacings—determined from the {200}o family of reflections—closely match the d spacings for all other reflections—(111)o, (120)o, (211)o, (202)o—determined by Bragg’s law (Methods). b, Two-dimensional reciprocal space map of the bare 2-nm HZH trilayer, indexed by integrating the diffraction spectrum. The lack of fully polycrystalline rings illustrates that the 2-nm HZH trilayer is highly oriented, consistent with TEM imaging. c, Synchrotron spectroscopy (XAS) of the bare 2-nm HZH trilayer at the Hf M3 edge (left) and Zr L3,2 edge (centre); right, the presence of linear dichroism (orbital polarization) provides further evidence of symmetry-breaking in these oriented thin films. d, Second harmonic generation (SHG) mapped across the bare 2-nm HZH trilayer; the presence of SHG intensity confirms broken inversion symmetry in these ultrathin ferroic films. e, f, Additional cross-sectional TEM providing complementary evidence of the tetragonal P42/nmc (e) and orthorhombic Pca21 (f) phases, in which the extracted (101)t lattice spacing (~2.95 Å) and (111)o lattice spacing (~3.08 Å) extracted from IP-GiD are consistent with the average lattice spacings extracted from the periodicity of the TEM-imaged planes. The white scale bars in all the TEM images represent 1 nm.

Extended Data Fig. 3 Ferroic phase insights: proximity to temperature-dependent phase transition.

a, Schematic of temperature-dependent AFE–FE phase evolution in fluorite-structure oxides. At lower temperatures, the higher symmetry tetragonal phase is expected to transition to the lower symmetry orthorhombic phase. b, Schematic crystal field splitting diagram for fluorite-structure polymorphs; the symmetry-induced e-splitting (rhombic distortion, ∆R), besides the typical t2-e splitting (tetrahedral distortion, ∆T) present in all fluorite-structure phases, provides a spectroscopic signature for the polar O phase (Methods). c, Temperature-dependent XAS at the oxygen K edge for a 2-nm HZH bare film demonstrating clearer spectroscopic signatures of the FE O phase emerge slightly below room temperature.d, Simulated oxygen K-edge XAS spectra (Materials Project) for the respective O and T phases. XAS provides spectroscopic signatures to distinguish between the O and T phases (difficult to resolve from GI-XRD). e, Prototypical CV behaviour for mixed AFE–FE (shoulder-like features in addition to the characteristic butterfly-like shape) and FE films (just butterfly-like) in MIM capacitor structures. f, Temperature-dependent CV for thicker HZH multilayers of the same periodicity (in MIM capacitor structure) demonstrating an evolution from mixed-ferroic to FE-like hysteresis upon cooling slightly below room temperature. Thinner HZH multilayers films suffer from leakage limitations, preventing such hysteretic CV measurements. The thicker HZH multilayers of the same periodicity—annealed at the same low-temperature condition to maintain the multilayer structure—demonstrate a similar mixed ferroic to FE phase transition slightly below room temperature as the thinner 2-nm multilayer (c).

Extended Data Fig. 4 Solid solutions versus superlattice structure: role of ALD period and Zr content.

a, Schematic of HZH multilayer and Zr-rich Hf:ZrO2 solid-solution films. With shorter ALD periods, the mixed FE–AFE multilayer structure transitions towards a Hf:ZrO2 solid solution with AFE-like behaviour. In the solid-solution state, the loss of the mixed ferroic order yields diminished capacitance, owing to the lack of mixed-ferroic-induced capacitance enhancement (Fig. 1a). b, MOS accumulation CV of the HZH trilayer (60% Zr) compared to solid-solution films of the same thickness (2 nm) and composition (60% Zr), as well as solid-solution films of the same thickness and higher Zr composition (67%–100% Zr). c, MIM CV hysteresis loops of the HZH superlattice (60% Zr) compared to solid-solution films of the same thickness (6 nm) and composition (60% Zr), as well as solid-solution films of the same thickness and higher Zr composition (67%–100% Zr). Hf:ZrO2 solid-solution films with higher Zr content (60%–75%) are around the range attributed to the ‘MPB’ in thicker Hf:ZrO2 alloys35,55,90,91,92,93. These results indicate that the capacitance enhancement in multilayer films is not simply driven by Zr content32,38,57,63, but instead the atomic-scale stacking, as the solid-solution films with subatomic superlattice period do not demonstrate the same mixed-ferroic behaviour and enhanced capacitance as the superlattices.

Extended Data Fig. 5 Solid solutions versus superlattice structure: role of annealing temperature.

a, Schematic of HfO2–ZrO2 multilayer and Hf:ZrO2 solid-solution films. Under a high-temperature anneal, the multilayer structure transitions towards a Hf:ZrO2 solid-solution-like structure demonstrating more FE-like behaviour. The solid-solution state yields diminished capacitance owing to the lack of both the higher-permittivity AFE phase and the mixed-ferroic-induced capacitance enhancement (Fig. 1a). b, Comparison of MOS capacitor accumulation CV characteristics in HZH multilayers, where the superstructure was repeated (left) one, (centre) two, or (right) three times, under both low- and high-temperature anneals. c, Comparison of mixed-ferroic behaviour in low-temperature treated MIM HZH multilayers versus FE behaviour in the same multilayers annealed at high temperatures, where the superstructure was repeated (left) three, (centre) four, or (right) five times. In all instances, the high-temperature anneal (>500 °C) results in diminished accumulation capacitance compared to the low-temperature anneals, as the multilayered mixed-ferroic films presumably transition to more FE-like solid-solution alloys.

Extended Data Fig. 6 SiO2 interlayer thickness.

a, Wide field-of-view (FOV) cross-sectional TEM images of the HZH multilayer structure and its corresponding intensity line scan (bottom right) averaged across the entire top cross-sectional image FOV (~150 nm, teal-coloured box). Note the vertical teal-coloured lines in the intensity line scan correspond to the inner teal-coloured box in the wide-FOV image, which delineate the SiO2 interlayer boundaries. The bottom cross-sectional TEM image highlights the thin SiO2 interlayer (white region) without obfuscation by the teal-coloured box. A physical SiO2 thickness of 8.6 Å is extracted from analysis of the averaged intensity line scan of the wide FOV TEM (Methods). b, d, CV measurements of HfO2 (b) and Al2O3 (d) thickness series in MOS capacitor structures (left), extracted inverse capacitance versus thickness at different charge values (centre), and extracted QV relation Si charge layer and SiO2 interlayer (SiL; right), which fits to TCAD simulations for 8.0 Å SiO2. The SiL QV relation was found by integrating the extracted capacitance equivalent thickness of SiL versus charge (right, inset). This electrical interlayer thickness (8.0 Å) is slightly less than the physical thickness determined by TEM (8.6 Å). As a sanity check, the extracted permittivity from this methodology for HfO2 and Al2O3 corresponds to 19 and 9, respectively, as is expected (Methods section ‘Permittivity extraction’). c, e, QV curves for HfO2 (c) and Al2O3 (e) thickness series obtained from integrating MOS CV measurements (left), extracted voltage versus thickness at various charge values (centre), and extracted QV relation of SiL (right). The SiL QV relation is consistent with the QV relation extracted from the CV data (inset). f, Consistency in the SiL QV relation extracted from the CV data from both the HfO2 and Al2O3 thickness series, which both fit to 8.0 Å SiO2 interlayer thickness.

Extended Data Fig. 7 Capacitance and charge enhancement.

a, MOS schematic of the 20 Å HZH mixed-ferroic trilayer sample on lightly doped Si (1015 cm−3) considered for the following CV and pulsed IV measurements. b, Accumulation CV curves for 2-nm HZH grown on sub-nm SiO2 fit to equivalent oxide thickness (EOT) simulations (Methods). Inset, externally verified MOS accumulation CV of the same trilayer stack (Methods), demonstrating 6.5 Å EOT. The 2-nm trilayer on top of SiO2 demonstrates lower EOT than the thickness of SiO2 interlayer alone, carefully extracted via physical (8.5 Å) and electrical (8.0 Å) methodologies (Extended Data Fig. 6), providing evidence of capacitance enhancement. c, The applied voltage pulse (top), measured current response (centre), and integrated charge (bottom) as a function of time for 2-nm HZH in MOS capacitors. d, The maximum charge Qmax, the residual charge Qres, and their difference, Qrev, derived from the charge versus time curve for each of the voltage pulses (Methods). e, The reversible charge of the MOS layer (top) compared against the extracted charge of the Si charge layer plus SiO2 interlayer (SiL) derived electrically (Extended Data Fig. 6f). The charge boost (bottom) present in the total MOS structure (SiL plus HZH capacitors) compared to just the SiL is a signature of negative capacitance26,69. f, The polarization–electric field (PEF) relationship for just the 2-nm HZH layer, extracted from the charge–voltage relationship in e. Note that the presence of a negative slope regime in the extracted PEF relation corresponds to negative capacitance stabilization26,69. g, Scatter plot of reported FE–dielectric systems demonstrating capacitance or charge enhancement at the capacitor-level, via CV or pulsed IV measurements, respectively. The plot considers fluorite-structure bilayers26,69 (red), perovskite-structure bilayers29,94 (blue, BL), and perovskite-structure superlattices30,31,47,48 (blue, SL). This work marks, to our knowledge, the thinnest demonstration of negative capacitance.

Extended Data Fig. 8 Mobility and reliability.

a, Intrinsic Cgg versus Vgs − Vfb for ~20 Å HZH and HfO2 gate stacks, which fit to 7.5 Å and 9.5 Å, respectively, extracted from SOI transistors. b, Id versus Vgs − VT at Vd = 50 mV for transistors implementing HZH and HfO2 gate stacks. c, EOT and mobility (at 5 × 1012 cm−2) for HZH and HfO2, demonstrating no mobility degradation. d, Normalized mobility versus EOT for transistors integrating the 2-nm HZH mixed-ferroic gate stack (blue) versus a 2-nm HfO2 standard high-κ dielectric gate stack (black) of higher EOT, demonstrating no mobility degradation. These results are also benchmarked against reported HKMG literature3 implementing interlayer-scavenged 2-nm HfO2 (red). Inset, SiO2 interlayer thickness versus EOT for 6.5 Å EOT HZH stack against notable HKMG literature employ interlayer scavenging3. This scatter plot highlights the underlying reason for the improved leakage-EOT and mobility-EOT behaviour in the ultrathin HZH gate stacks: achieving low EOT without reducing the SiO2 interlayer thickness. e, Measure–stress–measure scheme used in PBTI reliability measurements. f, g, ΔVT versus stress time for long-channel bulk transistors integrating HZH (f) and HfO2 (g) at varying stress conditions (up to 9 MV cm−1), measured at 85 °C. The extracted time exponent, n, is similar to reported high-κ HfO2 stacks67, which is expected considering the similar interfacial (IL) oxide and IL-high-κ interface46; both stacks with different EOT have HfO2 sitting on the same SiO2 IL (Extended Data Fig. 1). h, d.c. lifetime (stress time needed to induce a 50 mV ΔVT shift) versus electric field for HZH and HfO2, demonstrating similar rates of degradation. i, j, Evolution of MOSCAP accumulation CV curves as a function of stress time at a stress of Vfb − 1 V for HZH (i) and HfO2 (j).

Extended Data Fig. 9 Radio frequency device characterization.

a, De-embedding procedure for extracting corrected admittance parameters (Ycorr) by decoupling parasitic shunt capacitance and series resistance and inductance by measuring scattering parameters for the device under test (DUT) as well as open and short structures. More details can be found in Methods. b, Small-signal model for transistor used to extract transconductance (gm) and total gate capacitance (Cgg = Cgs + Cgd). c, De-embedded (2πf)−1Re(Y21) points extrapolated to the zero frequency limit (dotted lines) to extract the radio frequency gm. All data shown were extracted from bulk transistors (LG = 1 μm) integrating the 2-nm HZH ferroic gate stack.

Extended Data Fig. 10 Transconductance extraction.

a, Threshold voltage extraction by linear extrapolation for various channel lengths. All channel lengths give nearly constant VT (~0.42 V), satisfying the assumption for the line resistance method. b, Source/drain series resistance extracted using the 1/Vov method (Methods). By performing a linear interpolation of the total resistance for Vov = 0.5–0.6 V, the extracted series resistance is ~500 Ω μm. c, Source/drain series resistance extracted using the line resistance method (Methods). The trend is considered down to LG = 90 nm, which intersects at ~500–600 Ω μm—consistent with the 1/Vov method—with an LG offset of ~50 nm. d, e, Measured (left) and extracted (right) transconductance (d) and output conductance (e) versus Vg for Vds = 0.9–1.1 V, assuming Rs = Rd = 250 Ω μm for LG = 90 nm. The de-embedding of intrinsic gm,i and gds,i from extrinsic gm and gds is described in Methods. All data shown were measured on SOI short-channel transistors integrating the 2-nm HZH ferroic gate stack.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Cheema, S.S., Shanker, N., Wang, LC. et al. Ultrathin ferroic HfO2–ZrO2 superlattice gate stack for advanced transistors. Nature 604, 65–71 (2022). https://doi.org/10.1038/s41586-022-04425-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s41586-022-04425-6

This article is cited by

Comments

By submitting a comment you agree to abide by our Terms and Community Guidelines. If you find something abusive or that does not comply with our terms or guidelines please flag it as inappropriate.

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing