Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Article
  • Published:

Power-saving design opportunities for wireless intracortical brain–computer interfaces

Abstract

The efficacy of wireless intracortical brain–computer interfaces (iBCIs) is limited in part by the number of recording channels, which is constrained by the power budget of the implantable system. Designing wireless iBCIs that provide the high-quality recordings of today’s wired neural interfaces may lead to inadvertent over-design at the expense of power consumption and scalability. Here, we report analyses of neural signals collected from experimental iBCI measurements in rhesus macaques and from a clinical-trial participant with implanted 96-channel Utah multielectrode arrays to understand the trade-offs between signal quality and decoder performance. Moreover, we propose an efficient hardware design for clinically viable iBCIs, and suggest that the circuit design parameters of current recording iBCIs can be relaxed considerably without loss of performance. The proposed design may allow for an order-of-magnitude power savings and lead to clinically viable iBCIs with a higher channel count.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: iBCI schematic signal flow.
Fig. 2: iBCI robustness to spike error.
Fig. 3: Study of iBCI performance as a function of the neural interface parameters (monkey J).
Fig. 4: Power consumption trends in neural amplifiers and ADCs.
Fig. 5: Power consumption estimates per channel (log scale) for systems described in Table 120,22,23,41,42,43,44,45,73.

Similar content being viewed by others

Data availability

The main data supporting the results in this study are available within the paper and its Supplementary Information. The raw human neural data are available on request to K.V.S. or J.M.H., yet owing to the potential sensitivity of the data and to respect the participant’s expectation of privacy, an agreement between the researcher’s institution and the BrainGate consortium is required to facilitate the sharing of these datasets. Processed data are available at https://shenoy.people.stanford.edu/data.

Code availability

The custom code used to produce the figures is available at https://shenoy.people.stanford.edu/data.

References

  1. Slutzky, M. W. Brain–machine interfaces: powerful tools for clinical treatment and neuroscientific investigations. Neuroscientist 25, 139–154 (2019).

  2. Bouton, C. E. et al. Restoring cortical control of functional movement in a human with quadriplegia. Nature 533, 247–250 (2016).

    CAS  PubMed  Google Scholar 

  3. Ajiboye, A. B. et al. Restoration of reaching and grasping movements through brain-controlled muscle stimulation in a person with tetraplegia: a proof-of-concept demonstration. Lancet 389, 1821–1830 (2017).

    PubMed  PubMed Central  Google Scholar 

  4. Hochberg, L. R. et al. Reach and grasp by people with tetraplegia using a neurally controlled robotic arm. Nature 485, 372–375 (2012).

    CAS  PubMed  PubMed Central  Google Scholar 

  5. Collinger, J. L. et al. Functional priorities, assistive technology, and brain-computer interfaces after spinal cord injury. J. Rehabil. Res. Dev. 50, 145–160 (2013).

    PubMed  PubMed Central  Google Scholar 

  6. Downey, J. E. et al. Blending of brain–machine interface and vision-guided autonomous robotics improves neuroprosthetic arm performance during grasping. J. Neuroeng. Rehabil. 13, 28 (2016).

  7. Hochberg, L. R. et al. Neuronal ensemble control of prosthetic devices by a human with tetraplegia. Nature 442, 164–171 (2006).

    CAS  PubMed  Google Scholar 

  8. Pandarinath, C. et al. High performance communication by people with paralysis using an intracortical brain-computer interface. eLife 6, 18554 (2017).

    Google Scholar 

  9. Jarosiewicz, B. et al. Virtual typing by people with tetraplegia using a self-calibrating intracortical brain-computer interface. Sci. Transl. Med. 7, 313ra179 (2015).

    PubMed  PubMed Central  Google Scholar 

  10. Blabe, C. H. et al. Assessment of brain-machine interfaces from the perspective of people with paralysis. J. Neural Eng. 12, 043002 (2015).

    PubMed  PubMed Central  Google Scholar 

  11. Gilja, V. et al. Clinical translation of a high-performance neural prosthesis. Nat. Med. 21, 1142–1145 (2015).

    CAS  PubMed  PubMed Central  Google Scholar 

  12. Homer, M. L., Nurmikko, A. V., Donoghue, J. P. & Hochberg, L. R. Sensors and decoding for intracortical brain computer interfaces. Annu. Rev. Biomed. Eng. 15, 383–405 (2013).

    CAS  PubMed  PubMed Central  Google Scholar 

  13. Lebedev, M. A. & Nicolelis, M. A. L. Brain-machine interfaces: from basic science to neuroprostheses and neurorehabilitation. Physiol. Rev. 97, 767–837 (2017).

    PubMed  Google Scholar 

  14. Schwarz, D. A. et al. Chronic, wireless recordings of large-scale brain activity in freely moving rhesus monkeys. Nat. Methods 11, 670–676 (2014).

    CAS  PubMed  PubMed Central  Google Scholar 

  15. Carmena, J. M. et al. Learning to control a brain-machine interface for reaching and grasping by primates. PLoS Biol. 1, e42 (2003).

    PubMed  PubMed Central  Google Scholar 

  16. Gao, P. & Ganguli, S. On simplicity and complexity in the brave new world of large-scale neuroscience. Curr. Opin. Neurobiol. 32, 148–155 (2015).

    CAS  PubMed  Google Scholar 

  17. Wodlinger, B. et al. Ten-dimensional anthropomorphic arm control in a human brain-machine interface: difficulties, solutions, and limitations. J. Neural Eng. 12, 016011 (2015).

    CAS  PubMed  Google Scholar 

  18. Mitz, A. R. et al. High channel count single-unit recordings from nonhuman primate frontal cortex. J. Neurosci. Methods 289, 39–47 (2017).

    PubMed  PubMed Central  Google Scholar 

  19. Chen, X. et al. 3D printing and modelling of customized implants and surgical guides for non-human primates. J. Neurosci. Methods 286, 38–55 (2017).

    PubMed  PubMed Central  Google Scholar 

  20. Gao, H. et al. HermesE: a 96-channel full data rate direct neural interface in 0.13 μm CMOS. IEEE J. Solid-State Circuits 47, 1043–1055 (2012).

    Google Scholar 

  21. Miranda, H., Gilja, V., Chestek, C. A., Shenoy, K. V. & Meng, T. H. HermesD: a high-rate long-range wireless transmission system for simultaneous multichannel neural recording applications. IEEE Trans. Biomed. Circuits Syst. 4, 181–191 (2010).

    PubMed  Google Scholar 

  22. Borton, D. A., Yin, M., Aceros, J. & Nurmikko, A. An implantable wireless neural interface for recording cortical circuit dynamics in moving primates. J. Neural Eng. 10, 026010 (2013).

    PubMed  PubMed Central  Google Scholar 

  23. Yin, M. et al. Wireless neurosensor for full-spectrum electrophysiology recordings during free behavior. Neuron 84, 1170–1182 (2014).

    CAS  PubMed  Google Scholar 

  24. Kao, J. C., Stavisky, S. D., Sussillo, D., Nuyujukian, P. & Shenoy, K. V. Information systems opportunities in brain-machine interface decoders. Proc. IEEE 102, 666–682 (2014).

    Google Scholar 

  25. Fraser, G. W., Chase, S. M., Whitford, A. & Schwartz, A. B. Control of a brain-computer interface without spike sorting. J. Neural Eng. 6, 055004 (2009).

    PubMed  Google Scholar 

  26. Perel, S. et al. Single-unit activity, threshold crossings, and local field potentials in motor cortex differentially encode reach kinematics. J. Neurophysiol. 114, 1500–1512 (2015).

    PubMed  PubMed Central  Google Scholar 

  27. Christie, B. P. et al. Comparison of spike sorting and thresholding of voltage waveforms for intracortical brain-machine interface performance. J. Neural Eng. 12, 016009 (2015).

    PubMed  Google Scholar 

  28. Li, J. & Li, Z. Sums of spike waveform features for motor decoding. Front. Neurosci. 11, 406 (2017).

    PubMed  PubMed Central  Google Scholar 

  29. Trautmann, E. M. et al. Accurate estimation of neural population dynamics without spike sorting. Neuron 103, 292–308 (2019).

    CAS  PubMed  PubMed Central  Google Scholar 

  30. Han, D., Zheng, Y., Rajkumar, R., Dawe, G. & Je, M. A 0.45 v 100-channel neural-recording IC with sub-μW/channel consumption in 0.18 μm CMOS. In IEEE Int. Solid-State Circuits Conf. 291–292 (IEEE, 2013).

  31. Irwin, Z. T. et al. Enabling low-power, multi-modal neural interfaces through a common, low-bandwidth feature space. IEEE Trans. Neural Syst. Rehabil. Eng. 24, 521–531 (2016).

    PubMed  Google Scholar 

  32. Sodagar, A. M., Wise, K. D. & Najafi, K. A fully integrated mixed-signal neural processor for implantable multichannel cortical recording. IEEE Trans. Biomed. Eng. 54, 1075–1088 (2007).

    PubMed  Google Scholar 

  33. Karkare, V., Gibson, S. & Marković, D. A 75-μw, 16-channel neural spike-sorting processor with unsupervised clustering. IEEE J. Solid-State Circuits 48, 2230–2238 (2013).

    Google Scholar 

  34. Muratore, D. G. et al. A data-compressive wired-OR readout for massively parallel neural recording. IEEE Trans. Biomed. Circuits Syst. 13, 1128–1140 (2019).

    PubMed  Google Scholar 

  35. Aprile, C. et al. Adaptive learning-based compressive sampling for low-power wireless implants. IEEE Trans. Circuits Syst. I 65, 3929–3941 (2018).

    Google Scholar 

  36. Pagin, M. & Ortmanns, M. A neural data lossless compression scheme based on spatial and temporal prediction. In IEEE Biomedical Circuits and Systems Conf. 1–4 (IEEE, 2017).

  37. Wu, T., Zhao, W., Keefer, E. & Yang, Z. Deep compressive autoencoder for action potential compression in large-scale neural recording. J. Neural Eng. 15, 066019 (2018).

    PubMed  Google Scholar 

  38. Okazawa, T. & Akita, I. A time-domain analog spatial compressed sensing encoder for multi-channel neural recording. Sensors 18, 184 (2018).

    Google Scholar 

  39. Shoaran, M., Lopez, M. M., Pasupureddi, V. S. R., Leblebici, Y. & Schmid, A. A low-power area-efficient compressive sensing approach for multi-channel neural recording. In IEEE Int. Symp. on Circuits and Systems 2191–2194 (IEEE, 2013).

  40. Musk, E. Neuralink, an integrated brain-machine interface platform with thousands of channels. J. Med. Internet. Res. 21, e16194 (2019).

  41. Jun, J. J. et al. Fully integrated silicon probes for high-density recording of neural activity. Nature 551, 232–236 (2017).

    CAS  PubMed  PubMed Central  Google Scholar 

  42. Lopez, C. M. et al. A neural probe with up to 966 electrodes and up to 384 configurable channels in 0.13 μm SOI CMOS. IEEE Trans. Biomed. Circuits Syst. 11, 510–522 (2017).

    Google Scholar 

  43. De Dorigo, D. et al. Fully immersible subcortical neural probes with modular architecture and a Delta-Sigma ADC integrated under each electrode for parallel readout of 144 recording sites. IEEE J. Solid-State Circuits 53, 3111–3125 (2018).

    Google Scholar 

  44. Lee, B. et al. An inductively-powered wireless neural recording and stimulation system for freely-behaving animals. IEEE Trans. Biomed. Circuits Syst. 13, 413–424 (2019).

    PubMed  PubMed Central  Google Scholar 

  45. Angotzi, G. N. et al. SiNAPS: an implantable active pixel sensor CMOS-probe for simultaneous large-scale neural recordings. Biosens. Bioelectron. 126, 355–364 (2019).

    CAS  PubMed  Google Scholar 

  46. Fiáth, R. et al. A silicon-based neural probe with densely-packed low-impedance titanium nitride microelectrodes for ultrahigh-resolution in vivo recordings. Biosens. Bioelectron. 106, 86–92 (2018).

    PubMed  Google Scholar 

  47. Einevoll, G. T., Kayser, C., Logothetis, N. K. & Panzeri, S. Modelling and analysis of local field potentials for studying the function of cortical circuits. Nat. Rev. Neurosci. 14, 770–785 (2013).

    CAS  PubMed  Google Scholar 

  48. Belitski, A. et al. Low-frequency local field potentials and spikes in primary visual cortex convey independent visual information. J. Neurosci. 28, 5696–5709 (2008).

    CAS  PubMed  PubMed Central  Google Scholar 

  49. Buzsáki, G., Anastassiou, C. A. & Koch, C. The origin of extracellular fields and currents—EEG, ECoG, LFP and spikes. Nat. Rev. Neurosci. 13, 407–420 (2012).

    PubMed  PubMed Central  Google Scholar 

  50. Chestek, C. A. et al. Long-term stability of neural prosthetic control signals from silicon cortical arrays in rhesus macaque motor cortex. J. Neural Eng. 8, 045005 (2011).

    PubMed  PubMed Central  Google Scholar 

  51. Todorova, S., Sadtler, P., Batista, A., Chase, S. & Ventura, V. To sort or not to sort: the impact of spike-sorting on neural decoding performance. J. Neural Eng. 11, 056005 (2014).

    PubMed  PubMed Central  Google Scholar 

  52. Kao, J. C., Nuyujukian, P., Ryu, S. I. & Shenoy, K. V. A high-performance neural prosthesis incorporating discrete state selection with hidden Markov models. IEEE Trans. Biomed. Eng. 64, 935–945 (2017).

    PubMed  Google Scholar 

  53. Shanechi, M. M. et al. Rapid control and feedback rates enhance neuroprosthetic control. Nat. Commun. 8, 13825 (2017).

    CAS  PubMed  PubMed Central  Google Scholar 

  54. Even-Chen, N., Stavisky, S. D., Kao, J. C., Ryu, S. I. & Shenoy, K. V. Augmenting intracortical brain-machine interface with neurally driven error detectors. J. Neural Eng. 14, 066007 (2017).

    PubMed  PubMed Central  Google Scholar 

  55. Collinger, J. L. et al. High-performance neuroprosthetic control by an individual with tetraplegia. Lancet 381, 557–564 (2013).

    PubMed  PubMed Central  Google Scholar 

  56. Muelling, K. et al. Autonomy infused teleoperation with application to BCI manipulation. Auton. Robots 41, 1401–1422 (2017).

  57. Gilja, V. et al. A high-performance neural prosthesis enabled by control algorithm design. Nat. Neurosci. 15, 1752–1757 (2012).

    CAS  PubMed  PubMed Central  Google Scholar 

  58. Katyal, K. D. et al. A collaborative BCI approach to autonomous control of a prosthetic limb system. In 2014 IEEE Int. Conf. on Systems, Man, and Cybernetics 1479–1482 (IEEE, 2014).

  59. Oby, E. R. et al. Extracellular voltage threshold settings can be tuned for optimal encoding of movement and stimulus parameters. J. Neural Eng. 13, 036009 (2016).

    PubMed  PubMed Central  Google Scholar 

  60. Stavisky, S. D., Kao, J. C., Nuyujukian, P., Ryu, S. I. & Shenoy, K. V. A high performing brain-machine interface driven by low-frequency local field potentials alone and together with spikes. J. Neural Eng. 12, 036009 (2015).

    PubMed  PubMed Central  Google Scholar 

  61. Flint, R. D., Lindberg, E. W., Jordan, L. R., Miller, L. E. & Slutzky, M. W. Accurate decoding of reaching movements from field potentials in the absence of spikes. J. Neural Eng. 9, 046006 (2012).

    PubMed  PubMed Central  Google Scholar 

  62. Even-Chen, N. et al. Feasibility of automatic error detect-and-undo system in human intracortical brain-computer interfaces. IEEE Trans. Biomed. Eng. 65, 1771–1784 (2018).

    PubMed  Google Scholar 

  63. Brandman, D. M. et al. Robust closed-loop control of a cursor in a person with tetraplegia using Gaussian process regression. Neural Comput. 30, 2986–3008 (2018).

  64. Fernández, E. & Botella, P. Biotolerability of intracortical microelectrodes. Adv. Biosyst. 2, 1700115 (2018).

    Google Scholar 

  65. Zhai, S., Hunter, M. & Smith, B. A. Performance optimization of virtual keyboards. Hum. Comput. Interact. 17, 229–269 (2002).

    Google Scholar 

  66. Zumsteg, Z. S. et al. Power feasibility of implantable digital spike sorting circuits for neural prosthetic systems. IEEE Trans. Neural Syst. Rehabil. Eng. 13, 272–279 (2005).

    PubMed  Google Scholar 

  67. Kao, J. C. et al. Single-trial dynamics of motor cortex and their applications to brain-machine interfaces. Nat. Commun. 6, 7759 (2015).

    CAS  PubMed  PubMed Central  Google Scholar 

  68. Shanechi, M. M. Brain-machine interface control algorithms. IEEE Trans. Neural Syst. Rehabil. Eng. 25, 1725–1734 (2017).

  69. Sussillo, D., Stavisky, S. D., Kao, J. C., Ryu, S. I. & Shenoy, K. V. Making brain-machine interfaces robust to future neural variability. Nat. Commun. 7, 13749 (2016).

    CAS  PubMed  PubMed Central  Google Scholar 

  70. Glaser, J. I., Chowdhury, R. H., Perich, M. G., Miller, L. E. & Kording, K. P. Machine learning for neural decoding. Preprint at https://arxiv.org/abs/1708.00909 (2017).

  71. Cunningham, J. P., Gilja, V., Ryu, S. I. & Shenoy, K. V. Methods for estimating neural firing rates, and their application to brain-machine interfaces. Neural Netw. 22, 1235–1246 (2009).

    PubMed  PubMed Central  Google Scholar 

  72. Perge, J. A. et al. Intra-day signal instabilities affect decoding performance in an intracortical neural interface system. J. Neural Eng. 10, 036004 (2013).

    PubMed  PubMed Central  Google Scholar 

  73. Cerebus: Instructions for Use (Blackrock Microsystems, 2020); https://www.blackrockmicro.com/wp-content/ifu/LB-0028-15.00-Cerebus-Instructions-for-Use.pdf

  74. Bahrami, H., Mirbozorgi, S. A., Rusch, L. A. & Gosselin, B. BER performance of implant-to-air high-speed UWB data communications for neural recording systems. IEEE Proc. Eng. Med. Biol. Soc. Conf. 2014, 3961–3964 (2014).

    CAS  Google Scholar 

  75. Ebrazeh, A. & Mohseni, P. 30 pJ/b, 67 Mbps, centimeter-to-meter range data telemetry with an IR-UWB wireless link. IEEE Trans. Biomed. Circuits Syst. 9, 362–369 (2015).

    PubMed  Google Scholar 

  76. Harrison, R. R. et al. Wireless neural recording with single low-power integrated circuit. IEEE Trans. Neural Syst. Rehabil. Eng. 17, 322–329 (2009).

    PubMed  PubMed Central  Google Scholar 

  77. Walden, R. H. Analog-to-digital converter survey and analysis. IEEE J. Sel. areas Commun. 17, 539–550 (1999).

    Google Scholar 

  78. Gibson, S., Chandler, R., Karkare, V., Markovic, D. & Judy, J. W. An efficiency comparison of analog and digital spike detection. In 2009 4th Int. IEEE/EMBS Conf. on Neural Engineering 423–428 (IEEE, 2009).

  79. Gibson, S., Judy, J. W. & Marković, D. Technology-aware algorithm design for neural spike detection, feature extraction, and dimensionality reduction. IEEE Trans. Neural Syst. Rehabil. Eng. 18, 469–478 (2010).

    PubMed  Google Scholar 

  80. Yang, Z., Zhao, Q., Keefer, E. & Liu, W. Noise characterization, modeling, and reduction for in vivo neural recording. Adv. Neural Inf. Process. Syst. 22, 2160–2168 (2009).

    Google Scholar 

  81. Chandrakumar, H. & Marković, D. An 80-mVpp linear-input range, 1.6-GΩ input impedance, low-power chopper amplifier for closed-loop neural recording that is tolerant to 650-mVpp common-mode interference. IEEE J. Solid-State Circuits 52, 2811–2828 (2017).

    Google Scholar 

  82. Mendrela, A. E. et al. A bidirectional neural interface circuit with active stimulation artifact cancellation and cross-channel common-mode noise suppression. IEEE J. Solid-State Circuits 51, 955–965 (2016).

    Google Scholar 

  83. Muller, R., Gambini, S. & Rabaey, J. M. A 0.013 mm2, 5 μW, DC-coupled neural signal acquisition IC with 0.5 V supply. IEEE J. Solid-State Circuits 47, 232–243 (2012).

    Google Scholar 

  84. Steyaert, M. S. & Sansen, W. M. A micropower low-noise monolithic instrumentation amplifier for medical purposes. IEEE J. Solid-State Circuits 22, 1163–1168 (1987).

    Google Scholar 

  85. Kim, S.-J. et al. A 0.5-V sub-μW/channel neural recording IC with delta-modulation-based spike detection. In IEEE Asian Solid-State Circuits Conference 189–192 (IEEE, 2014).

  86. Dong, H., Yuanjin, Z., Rajkumar, R., Dawe, G. & Minkyu, J. 0.45 V 100-channel neural-recording IC with sub-mW/channel consumption in 0.18 mm CMOS. In IEEE Int. Solid-State Circuits Conf. 17–21 (IEEE, 2013).

  87. Muller, R. Low power, scalable platforms for implantable neural recording. PhD dissertation, Univ. California Berkeley (2015); http://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-19.html

  88. McCreary, J. L. & Gray, P. R. All-MOS charge redistribution analog-to-digital conversion techniques. IEEE J. Solid-State Circuits 10, 371–379 (1975).

    Google Scholar 

  89. Karkare, V., Chandrakumar, H., Rozgić, D. & Marković, D. Robust, reconfigurable, and power-efficient biosignal recording systems. In IEEE Custom Integrated Circuits Conf. 1–8 (IEEE, 2014).

  90. Goldsmith, A. Wireless Communications (Cambridge University Press, 2005).

  91. Miranda, H. & Meng, T. H. A programmable pulse UWB transmitter with 34 energy efficiency for multichannel neuro-recording systems. In IEEE Custom Integrated Circuits Conf. 1–4 (IEEE, 2010).

  92. Obeid, I. & Wolf, P. D. Evaluation of spike-detection algorithms for a brain-machine interface application. IEEE Trans. Biomed. Eng. 51, 905–911 (2004).

    PubMed  Google Scholar 

  93. Kaiser, J. F. On a simple algorithm to calculate the energy of a signal. Proc. IEEE Int. Conf. Acoust., Speech, Signal Process. 1, 381–384 (1990).

    Google Scholar 

  94. Chase, S. M., Schwartz, A. B. & Kass, R. E. Bias, optimal linear estimation, and the differences between open-loop simulation and closed-loop performance of spiking-based brain-computer interface algorithms. Neural Netw. 22, 1203–1213 (2009).

    PubMed  PubMed Central  Google Scholar 

  95. Willett, F. R. et al. Signal-independent noise in intracortical brain-computer interfaces causes movement time properties inconsistent with Fitts’ law. J. Neural Eng. 14, 026010 (2017).

    PubMed  PubMed Central  Google Scholar 

  96. Gao, P. et al. A theory of multineuronal dimensionality, dynamics and measurement. Preprint at https://www.biorxiv.org/content/10.1101/214262v2 (2017).

  97. Liberti, W. A., Perkins, L. N., Leman, D. P. & Gardner, T. J. An open source, wireless capable miniature microscope system. J. Neural Eng. 14, 045001 (2017).

    PubMed  PubMed Central  Google Scholar 

  98. Foster, J. D. et al. A freely-moving monkey treadmill model. J. Neural Eng. 11, 046020 (2014).

    PubMed  Google Scholar 

  99. Cunningham, J. P. et al. A closed-loop human simulator for investigating the role of feedback control in brain-machine interfaces. J. Neurophysiol. 105, 1932–1949 (2011).

    PubMed  Google Scholar 

  100. Santhanam, G., Ryu, S. I., Yu, B. M., Afshar, A. & Shenoy, K. V. A high-performance brain-computer interface. Nature 442, 195–198 (2006).

    CAS  PubMed  Google Scholar 

  101. Jindal, R. Compact noise models for MOSFETs. IEEE Trans. Electron Devices 53, 2051–2061 (2006).

    Google Scholar 

  102. Scholten, A. et al. Noise modeling for RF CMOS circuit simulation. IEEE Trans. Electron Devices 50, 618–632 (2003).

    Google Scholar 

  103. Hariprasath, V., Guerber, J., Lee, S.-H. & Moon, U.-K. Merged capacitor switching based SAR ADC with highest switching energy-efficiency. Electron. Lett. 46, 620–621 (2010).

    Google Scholar 

  104. Razavi, B. The strongarm latch [a circuit for all seasons]. IEEE Solid-State Circuits Mag. 7, 12–17 (2015).

    Google Scholar 

  105. Harpe, P., Gao, H., van Dommele, R., Cantatore, E. & van Roermund, A. H. A 0.20 mm2 3 nW signal acquisition IC for miniature sensor nodes in 65 nm CMOS. IEEE J. Solid-State Circuits 51, 240–248 (2016).

    Google Scholar 

  106. Chandrakumar, H. & Markovic, D. A 15.2-ENOB continuous-time ΣΔ ADC for a 200mV pp-linear-input-range neural recording front-end. In IEEE International Solid-State Circuits Conf. 232–234 (IEEE, 2018).

  107. Murmann, B. The race for the extra decibel: a brief review of current ADC performance trajectories. IEEE Solid State Circuits Mag. 7, 58–66 (2015).

    Google Scholar 

Download references

Acknowledgements

We thank S. I. Ryu for electrode array implantation surgical assistance, M. Risch, M. Wechsler and A. Craig for expert surgical assistance and veterinary care. We thank B. Davis, G. Bell and N. Lam for administrative assistance. We thank W. L. Gore Inc. for donating Preclude artificial dura, used as part of the chronic non-human-primate electrode array implantation procedure. This work was supported by a Stanford Bio-X Institute fellowship (to N.E.-C.), Wu Tsai Neurosciences Institute fellowships (to D.G.M. and S.D.S.), an ALS Association Milton Safenowitz Postdoctoral Fellowship (to S.D.S.), an A. P. Giannini Foundation Postdoctoral Research Fellowship in California (to S.D.S.), a Career Award at the Scientific Interface from the Burroughs Wellcome Fund (to S.D.S.), the Rehabilitation R&D Service, the Department of Veterans Affairs (grants N2864C, A2295R, N9288C and B6453R to L.R.H.), grant NINDS-UH2NS095548 (to L.R.H., J.M.H. and K.V.S.), grant NIDCD-R01DC009899 (to L.R.H., J.M.H. and K.V.S.), grant NIDCD RO1DC014034 (to J.M.H., K.V.S. and L.R.H.), grant NINDS-U01NS098968 (to L.R.H., J.M.H. and K.V.S.), the DARPA ‘NESD’ (to B.M. and K.V.S.), the DARPA ‘NeuroFast’ (grant W911NF-14-2-0013 to K.V.S.), NIH Director’s Pioneer Award 8DP1HD075623 (to K.V.S.), a Simons Foundation grant ‘Simons Collaboration on the Global Brain (SCGB)’ 543045 (to K.V.S.), the Office of Naval Research grant W911NF-14-2-0013 (to K.V.S.), and the Howard Hughes Medical Institute (to K.V.S.).

Author information

Authors and Affiliations

Authors

Contributions

N.E.-C. and D.G.M. designed the study and analysis, and wrote the manuscript with input from all other authors. N.E.-C. and S.D.S. were responsible for data collection. J.M.H. planned and performed T5’s array placement surgery. L.R.H. is the sponsor investigator of the multi-site pilot clinical trial. J.M.H., B.M. and K.V.S. were involved in all aspects of the study.

Corresponding author

Correspondence to Nir Even-Chen.

Ethics declarations

Competing interests

The MGH Translational Research Center has clinical research support agreements with Neuralink Inc., Paradromics Inc. and Synchron Medical, for which L.R.H. provides consultative input. K.V.S. and J.M.H. are consultants to Neuralink Inc. K.V.S. is on the Scientific Advisory Boards of CTRL-Labs Inc., Mind-X Inc., Inscopix Inc. and Heal Inc. These entities did not support this work.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Supplementary information

Supplementary Information

Supplementary Figures 1–7.

Reporting Summary

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Even-Chen, N., Muratore, D.G., Stavisky, S.D. et al. Power-saving design opportunities for wireless intracortical brain–computer interfaces. Nat Biomed Eng 4, 984–996 (2020). https://doi.org/10.1038/s41551-020-0595-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s41551-020-0595-9

This article is cited by

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing