Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Letter
  • Published:

Three-dimensional integration of nanotechnologies for computing and data storage on a single chip

Abstract

The computing demands of future data-intensive applications will greatly exceed the capabilities of current electronics, and are unlikely to be met by isolated improvements in transistors, data storage technologies or integrated circuit architectures alone. Instead, transformative nanosystems, which use new nanotechnologies to simultaneously realize improved devices and new integrated circuit architectures, are required. Here we present a prototype of such a transformative nanosystem. It consists of more than one million resistive random-access memory cells and more than two million carbon-nanotube field-effect transistors—promising new nanotechnologies for use in energy-efficient digital logic circuits1,2,3 and for dense data storage4—fabricated on vertically stacked layers in a single chip. Unlike conventional integrated circuit architectures, the layered fabrication realizes a three-dimensional integrated circuit architecture with fine-grained and dense vertical connectivity between layers of computing, data storage, and input and output (in this instance, sensing). As a result, our nanosystem can capture massive amounts of data every second, store it directly on-chip, perform in situ processing of the captured data, and produce ‘highly processed’ information. As a working prototype, our nanosystem senses and classifies ambient gases. Furthermore, because the layers are fabricated on top of silicon logic circuitry, our nanosystem is compatible with existing infrastructure for silicon-based technologies. Such complex nano-electronic systems will be essential for future high-performance and highly energy-efficient electronic systems5.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Figure 1: Our 3D nanosystem.
Figure 2: Illustration, schematic and operation of our nanosystem.
Figure 3: Characterization of the components of our nanosystem.
Figure 4: Results from our nanosystem.

Similar content being viewed by others

References

  1. Chang, L. Short course. In IEEE International Electron Devices Meeting (IEDM) (2012)

  2. Franklin, A. et al. Sub-10 nm carbon nanotube transistor. Nano Lett. 12, 758–762 (2012)

    Article  ADS  CAS  Google Scholar 

  3. Wei, L ., Frank, D. J ., Chang, L. & Wong, H. S. P. A non-iterative compact model for carbon nanotube FETs incorporating source exhaustion effects. In IEEE Internation Electron Devices Meeting (IEDM) 1–4 (IEEE, 2009)

  4. Wong, H. S. P. & Salahuddin, S. Memory leads the way to better computing. Nat. Nanotechnol. 10, 191–194 (2015)

    Article  ADS  CAS  Google Scholar 

  5. Aly, M. M. S. et al. Energy-efficient abundant-data computing: the N3XT 1,000x. Computer 48, 24–33 (2015)

    Google Scholar 

  6. Dennard, R. H., Gaensslen, F. H., Rideout, V. L., Bassous, E. & LeBlanc, A. R. Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid-State Circuits 9, 256–268 (1974)

    Article  ADS  Google Scholar 

  7. Frank, D. J. et al. Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 89, 259–288 (2001)

    Article  CAS  Google Scholar 

  8. Cao, Q. et al. Medium-scale carbon nanotube thin-film integrated circuits on flexible plastic substrates. Nature 454, 495–500 (2008)

    Article  ADS  CAS  Google Scholar 

  9. Shulaker, M. M. et al. Carbon nanotube computer. Nature 501, 526–530 (2013)

    Article  ADS  CAS  Google Scholar 

  10. Shulaker, M. M. et al. Sensor-to-digital interface built entirely with carbon nanotube FETs. IEEE J. Solid-State Circuits 49, 190–201 (2014)

    Article  ADS  Google Scholar 

  11. Wong, H. S. P. et al. Metal–oxide RRAM. Proc. IEEE 100, 1951–1970 (2012)

    Article  CAS  Google Scholar 

  12. Mayer-Schönberger, V . & Cukier, K. Big Data: A Revolution That Will Transform How We Live, Work, and Think (Houghton Mifflin Harcourt, 2013)

  13. Rogers, B. M. et al. Scaling the bandwidth wall: challenges in and avenues for CMP scaling. In ACM SIGARCH Computer Architecture News Vol. 37, 371–382 (ACM, 2009)

  14. Villa, O . et al. Scaling the power wall: a path to exascale. In Proc. International Conference for High Performance Computing, Networking, Storage and Analysis (SC14) 830–841 (IEEE, 2014)

  15. Shulaker, M. M . et al. Monolithic 3D integration of logic and memory: carbon nanotube FETs, resistive RAM, and silicon FETs. In IEEE International Electron Devices Meeting (IEDM) 27–34 (IEEE, 2014)

  16. Leduc, P . et al. Enabling technologies for 3D chip stacking. In International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA) 76–78 (IEEE, 2008)

  17. Vinet, M. et al. 3D monolithic integration: technological challenges and electrical results. Microelectron. Eng. 88, 331–335 (2011)

    Article  CAS  Google Scholar 

  18. Batude, P . et al. Advances, challenges and opportunities in 3D CMOS sequential integration. IEEE Int. Electron Devices Meeting (IEDM) 7–13 (IEEE, 2011)

  19. Steinwart, I. & Christmann, A. Support Vector Machines (Springer, 2008)

  20. Liu, S. F., Moh, L. C. & Swager, T. M. Single-walled carbon nanotube–metalloporphyrin chemiresistive gas sensor arrays for volatile organic compounds. Chem. Mater. 27, 3560–3563 (2015)

    Article  CAS  Google Scholar 

  21. Kong, J. et al. Nanotube molecular wires as chemical sensors. Science 287, 622–625 (2000)

    Article  ADS  CAS  Google Scholar 

  22. Shulaker, M. M. et al. Linear increases in carbon nanotube density through multiple transfer technique. Nano Lett. 11, 1881–1886 (2011)

    Article  ADS  CAS  Google Scholar 

  23. Patil, N ., Lin, A ., Myers, E. R ., Wong, H. S. P. & Mitra, S. Integrated wafer-scale growth and transfer of directional carbon nanotubes and misaligned-carbon-nanotube-immune logic structures. In Symposium on VLSI Technology 205–206 (IEEE, 2008)

  24. Shulaker, M. M . et al. High-performance carbon nanotube field-effect transistors. In IEEE International Electron Devices Meeting (IEDM) 33–36 (IEEE, 2014)

  25. Zhang, J. et al. Carbon nanotube robust digital VLSI. IEEE Trans. Computer-Aided Des. 31, 453–471 (2012)

    Article  CAS  Google Scholar 

  26. Patil, N. et al. Scalable carbon nanotube computational and storage circuits immune to metallic and mispositioned carbon nanotubes. IEEE Trans. Nanotechnol. 10, 744–750 (2011)

    Article  ADS  Google Scholar 

  27. Patil, N . et al. VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using carbon nanotube FETs. IEEE International Electron Devices Meeting (IEDM) 1–4 (IEEE, 2009)

  28. Shulaker, M. M. et al. Carbon nanotube circuit integration up to sub-20 nm channel lengths. ACS Nano 8, 3434–3443 (2014)

    Article  CAS  Google Scholar 

  29. Shulaker, M. M . et al. Efficient metallic carbon nanotube removal for highly-scaled technologies. IEEE International Electron Devices Meeting (IEDM) 32–34 (IEEE, 2015)

  30. Staii, C., Johnson, A. T., Jr, Chen, M. & Gelperin, A. DNA-decorated carbon nanotubes for chemical sensing. Nano Lett. 5, 1774–1778 (2005)

    Article  ADS  CAS  Google Scholar 

Download references

Acknowledgements

We acknowledge the support of NSF (CNS-1059020), DARPA (W909MY-16-1-0001), STARnet SONIC, member companies of the Stanford SystemX Alliance, and the Hertz Fellowship and Stanford Graduate Fellowship for M.M.S. We are grateful to C. Gupta for discussions.

Author information

Authors and Affiliations

Authors

Contributions

M.M.S. led and was involved in all aspects of the project, and performed all of the design, layout, fabrication and testing. G.H. contributed to the design and testing. R.S.P., R.T.H. and K.S. contributed to the design of the silicon transistors. H.-S.P.W. and S.M. were in charge and advised on all parts of the project.

Corresponding author

Correspondence to Max M. Shulaker.

Ethics declarations

Competing interests

The authors declare no competing financial interests.

Additional information

Publisher's note: Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Extended data figures and tables

Extended Data Figure 1 Fabrication flow for our nanosystem.

See Methods section ‘Fabrication’ for details.

Extended Data Figure 2 Schematic of the CNFET-based classification accelerator.

The combinational logic is implemented with CNFETs (on the second layer), whereas the registers are implemented with silicon FETs (on the first layer). H.A., half-adder; clk, clock; D, latch input; Q, latch output.

Extended Data Figure 3 Small-scale example of how the CNFET-based classification accelerator performs classification.

F.V., feature vector.

Extended Data Figure 4 Measured waveform of the CNFET-based classification, testing all possible combinations.

Extended Data Figure 5 Implementation of the CNFET inverter operating as a gas sensor.

The resistance of the sensor Rsensor depends on the ambient air and VGS (Rsensor = f(ambient, VGS)), whereas the resistance of the pull-down CNFET Rpull-down depends only of VGS (Rpull-down = f(VGS)).

Extended Data Figure 6 Input (‘in’) and output voltage Vout (‘out’) of the CNFET inverter (gas and vapour sensor) after non-covalent functionalization of the pull-up CNFET and oxide deposition over the pull-down CNFET.

Extended Data Figure 7 CNFET gas sensors.

a, Characterization of the CNFET gas sensors. Sample size is 90 (30 of each the three types of CNFET gas sensor). ΔR is defined as the resistance measured after exposure to the given gas divided by the baseline resistance in vacuum, with the resistance is both cases measured at VGS = −3 V and VDS = −2 V (error bars show 95% confidence intervals). b, Example layout showing how sub-arrays of the complete chip can be functionalized. By measuring the percentage of RRAM cells that are set to 1 in each sub-array during the sensing phase of operation, an average value for the CNFET sensing circuit can be calculated, which corresponds to Rsensor.

Extended Data Figure 8 Characterization of the CNFET gas sensors.

a, Sensor response is reversible, responding and returning to steady-state within approximately 45 s. b, Sensor response is robust: 30 repeated measurements of the current–voltage curve (‘IVs’) from the same CNFET gas sensor yield similar responses. c, The techniques that we used to realize VLSI-compatible CNFET logic simultaneously improve CNFET sensor performance. The CNFET with purely semiconducting CNTs (‘Semiconducting’) has a much larger sensitivity and change in its response than a CNFET with metallic CNTs (‘Metallic’), as indicated by the arrows.

Extended Data Figure 9 Aligned active layouts are used to overcome variability in CNTs.

VDD, supply voltage; GND, ground; OUT, output node.

Extended Data Figure 10 Test chamber for our nanosystem.

PowerPoint slides

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Shulaker, M., Hills, G., Park, R. et al. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 547, 74–78 (2017). https://doi.org/10.1038/nature22994

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/nature22994

This article is cited by

Comments

By submitting a comment you agree to abide by our Terms and Community Guidelines. If you find something abusive or that does not comply with our terms or guidelines please flag it as inappropriate.

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing