Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Letter
  • Published:

Nanoscale holographic interferometry for strain measurements in electronic devices

Abstract

Strained silicon is now an integral feature of the latest generation of transistors and electronic devices1,2,3 because of the associated enhancement in carrier mobility4,5. Strain is also expected to have an important role in future devices based on nanowires6 and in optoelectronic components7. Different strategies have been used to engineer strain in devices, leading to complex strain distributions in two and three dimensions8,9. Developing methods of strain measurement at the nanoscale has therefore been an important objective in recent years but has proved elusive in practice1,10: none of the existing techniques combines the necessary spatial resolution, precision and field of view. For example, Raman spectroscopy or X-ray diffraction techniques can map strain at the micrometre scale, whereas transmission electron microscopy allows strain measurement at the nanometre scale but only over small sample areas. Here we present a technique capable of bridging this gap and measuring strain to high precision, with nanometre spatial resolution and for micrometre fields of view11. Our method combines the advantages of moiré techniques12 with the flexibility of off-axis electron holography13 and is also applicable to relatively thick samples, thus reducing the influence of thin-film relaxation effects.

This is a preview of subscription content, access via your institution

Access options

Rent or buy this article

Prices vary by article type

from$1.95

to$39.95

Prices may be subject to local taxes which are calculated during checkout

Figure 1: Principle of the dark-field holographic moiré technique, a combination of the conventional moiré technique and off-axis electron holography.
Figure 2: Dark-field holographic moiré experiment on a strained-silicon transistor array.
Figure 3: Measured deformation of strained-silicon transistor array shown in Fig. 2 .
Figure 4: In-plane deformation maps for transistor array.
Figure 5: Deformation profiles of the transistor array.

Similar content being viewed by others

References

  1. ITRS, International Technology Roadmap for Semiconductors, 2005 edn Available online at 〈http://www.itrs.net/reports.html〉.

  2. Ghani, T. et al. A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strained silicon CMOS transistors. IEDM Tech. Digest 978–980 (IEEE International, 2003)

  3. Antoniadis, D. A. et al. Continuous MOSFET performance increase with device scaling: The role of strain and channel material innovations. IBM J. Res. Dev. 50, 363–376 (2006)

    Article  CAS  Google Scholar 

  4. Lee, M. L., Fitzgerald, E. A., Bulsara, M. T., Currie, M. T. & Lochtefeld, A. Strained Si, SiGe, and Ge channels for high-mobility metal-oxide-semiconductor field-effect transistors. J. Appl. Phys. 97, 011101 (2005)

    Article  ADS  CAS  Google Scholar 

  5. Thompson, S. E., Sun, G. Y., Choi, Y. S. & Nishida, T. Uniaxial-process-induced strained-Si: Extending the CMOS roadmap. IEEE Trans. Electron. Dev. 53, 1010–1020 (2006)

    Article  ADS  CAS  Google Scholar 

  6. He, R. R. & Yang, P. D. Giant piezoresistance effect in silicon nanowires. Nature Nanotechnol. 1, 42–46 (2006)

    Article  ADS  CAS  Google Scholar 

  7. Jacobsen, R. S. et al. Strained silicon as a new electro-optic material. Nature 441, 199–202 (2006)

    Article  ADS  CAS  PubMed  Google Scholar 

  8. Acosta, A. & Sood, S. Engineering strained silicon: looking back and into the future. IEEE Potentials 25, 31–34 (2006)

    Article  Google Scholar 

  9. Parton, E. & Verheyen, P. Strained silicon—the key to sub-45 nm CMOS. III–Vs Rev. 19, 28–31 (2006)

    Google Scholar 

  10. Foran, B., Clark, M. H. & Lian, G. Strain measurement by transmission electron microscopy. Future Fab Intl 20, 127–129 (2006)

    Google Scholar 

  11. Hÿtch, M. J., Snoeck, E., Houdellier, F. & Hüe, F. Procédé et système de mesure de déformations à l’échelle nanométrique. French Patent Application FR 07 06711.

  12. Hirsch, P. B., Howie, A., Nicholson, R., Pashley, D. W. & Whelan, M. J. Electron Microscopy of Thin Crystals 2nd edn, ch. 15 (Krieger, Malabar, Florida, 1977)

    Google Scholar 

  13. McCartney, M. R. & Smith, D. J. Electron holography: Phase imaging with nanometer resolution. Annu. Rev. Mater. Res. 37, 729–767 (2007)

    Article  ADS  CAS  Google Scholar 

  14. Zhang, P. et al. Direct strain measurement in a 65 nm node strained silicon transistor by convergent-beam electron diffraction. Appl. Phys. Lett. 89, 161907 (2006)

    Article  ADS  CAS  Google Scholar 

  15. Usuda, K., Numata, T., Irisawa, T., Hirashita, N. & Takagi, S. Strain characterization in SOI and strained-Si on SGOI MOSFET channel using nano-beam electron diffraction (NBD). Mater. Sci. Eng. B 124, 143–147 (2005)

    Article  CAS  Google Scholar 

  16. Li, J., Anjum, D., Hull, R., Xia, G. & Hoyt, J. L. Nanoscale stress analysis of strained-Si metal-oxide-semiconductor field-effect transistors by quantitative electron diffraction contrast imaging. Appl. Phys. Lett. 87, 222111 (2005)

    Article  ADS  CAS  Google Scholar 

  17. Clément, L., Pantel, R., Kwakman, L. F. T. & Rouvière, J.-L. Strain measurements by convergent-beam electron diffraction: The importance of stress relaxation in lamella preparations. Appl. Phys. Lett. 85, 651–653 (2004)

    Article  ADS  CAS  Google Scholar 

  18. Houdellier, F., Roucau, C., Clément, L., Rouvière, J.-L. & Casanove, M.-J. Quantitative analysis of HOLZ line splitting in CBED patterns of epitaxially strained layers. Ultramicroscopy 106, 951–959 (2006)

    Article  CAS  PubMed  Google Scholar 

  19. Hÿtch, M. J., Snoeck, E. & Kilaas, R. Quantitative measurement of displacement and strain fields from HREM micrographs. Ultramicroscopy 74, 131–146 (1998)

    Article  Google Scholar 

  20. Hÿtch, M. J., Putaux, J.-L. & Pénisson, J.-M. Measurement of the displacement field around dislocations to 0.03 Å by electron microscopy. Nature 423, 270–273 (2003)

    Article  ADS  CAS  PubMed  Google Scholar 

  21. Johnson, C. L. et al. Effects of elastic anisotropy on strain distributions in decahedral gold nanoparticles. Nature Mater. 7, 120–124 (2008)

    Article  ADS  CAS  Google Scholar 

  22. Hüe, F., Hÿtch, M. J., Bender, H., Houdellier, F. & Claverie, A. Direct mapping of strain in a strained-silicon transistor by high-resolution electron microscopy. Phys. Rev. Lett. 100, 156602 (2008)

    Article  ADS  CAS  PubMed  Google Scholar 

  23. Treacy, M. M. J., Gibson, J. M. & Howie, A. On elastic relaxation and long wavelength microstructures in spinodally decomposed InxGa1–xAsyP1–y epitaxial layers. Phil. Mag. A 51, 389–417 (1985)

    Article  ADS  CAS  Google Scholar 

  24. Hÿtch, M. J. & Plamann, T. Imaging conditions for reliable measurement of displacement and strain from high-resolution electron microscope images. Ultramicroscopy 87, 199–212 (2001)

    Article  PubMed  Google Scholar 

  25. Loo, R. et al. A new technique to fabricate ultra-shallow-junctions, combining in situ vapour HCl etching and in situ doped epitaxial SiGe re-growth. Appl. Surf. Sci. 224, 63–67 (2004)

    Article  ADS  CAS  Google Scholar 

  26. Hüe, F. et al. Calibration of projector lens distortions. J. Electron Microsc. (Tokyo) 54, 181–190 (2005)

    Article  Google Scholar 

  27. Yeo, Y. C. & Sun, J. S. Finite-element study of strain distribution in transistor with silicon-germanium source and drain regions. Appl. Phys. Lett. 86, 023103 (2005)

    Article  ADS  CAS  Google Scholar 

  28. Ishitani, T., Umemura, K., Ohnishi, T., Yaguchi, T. & Kamino, T. Improvements in performance of focused ion beam cross-sectioning: aspects of ion–sample interaction. J. Electron Microsc. 53, 443–449 (2004)

    Article  CAS  Google Scholar 

  29. Rau, W. D., Schwander, P., Baumann, F. H., Hoppner, W. & Ourmazd, A. Two-dimensional mapping of the electrostatic potential in transistors by electron holography. Phys. Rev. Lett. 82, 2614–2617 (1999)

    Article  ADS  CAS  Google Scholar 

  30. De Jong, N., Allioux, M., Oostveen, J. T., Teo, K. B. K. & Milne, W. I. Optical performance of carbon-nanotube electron sources. Phys. Rev. Lett. 94, 186807 (2005)

    Article  ADS  CAS  Google Scholar 

  31. Wang, Y. Y. et al. Off-axis electron holography with a dual-lens imaging system and its usefulness in 2-D potential mapping of semiconductor devices. Ultramicroscopy 101, 63–72 (2004)

    Article  CAS  PubMed  Google Scholar 

  32. Harada, K., Akashi, T., Togawa, Y., Matsuda, T. & Tonomura, A. Optical system for double-biprism electron holography. J. Electron Microsc. 54, 19–27 (2005)

    Article  Google Scholar 

  33. Snoeck, E., Hartel, P., Mueller, H., Haider, M. & Tiemeijer, P. C. Using a CEOS-objective lens corrector as a pseudo Lorentz lens in a Tecnai F20 TEM. Proc. 16th Intl Microsc. Congress 2, 730 (Japanese Society of Microscopy, Sapporo, 2006)

    Google Scholar 

  34. Huebner, K. H. H., Dewhirst, D. L., Smith, D. E. & Byrom, T. G. The Finite Element Method for Engineers (Wiley, New York, 2001)

    Google Scholar 

  35. Christiansen, S., Albrecht, M., Strunk, H. P. & Maier, H. J. Strained state of Ge(Si) islands on Si: Finite element calculations and comparison to convergent beam electron-diffraction measurements. Appl. Phys. Lett. 64, 3617–3619 (1994)

    Article  ADS  CAS  Google Scholar 

Download references

Acknowledgements

F.H. thanks the CEA-LETI for financial support. This work was partially supported by the European Union through the projects PullNano (Pulling the limits of nanoCMOS electronics, IST-026828) and ESTEEM (Enabling Science and Technology through European Electron Microscopy, IP3: 0260019).We thank P. Mooney for supplying the CCD camera calibration data, P. Verheyen and R. Loo for the device material, and N. Lou and P. Salles for help with FIB preparation.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Martin Hÿtch.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Hÿtch, M., Houdellier, F., Hüe, F. et al. Nanoscale holographic interferometry for strain measurements in electronic devices. Nature 453, 1086–1089 (2008). https://doi.org/10.1038/nature07049

Download citation

  • Received:

  • Accepted:

  • Issue Date:

  • DOI: https://doi.org/10.1038/nature07049

This article is cited by

Comments

By submitting a comment you agree to abide by our Terms and Community Guidelines. If you find something abusive or that does not comply with our terms or guidelines please flag it as inappropriate.

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing